Commit 9d0fc8110e7e755239329c26f300d5fc9946d3ec

Authored by Dirk Behme
Committed by Jean-Christophe PLAGNIOL-VILLARD
1 parent f904cdbb68

OMAP3: Add Overo board

Add Overo board support.

Signed-off-by: Steve Sakoman <sakoman@gmail.com>
Signed-off-by: Dirk Behme <dirk.behme@googlemail.com>
Signed-off-by: Jason Kridner <jkridner@beagleboard.org>

Showing 11 changed files with 949 additions and 2 deletions Inline Diff

1 ######################################################################### 1 #########################################################################
2 # # 2 # #
3 # Regular Maintainers for U-Boot board support: # 3 # Regular Maintainers for U-Boot board support: #
4 # # 4 # #
5 # For any board without permanent maintainer, please contact # 5 # For any board without permanent maintainer, please contact #
6 # Wolfgang Denk <wd@denx.de> # 6 # Wolfgang Denk <wd@denx.de> #
7 # and Cc: the <u-boot@lists.denx.de> mailing list. # 7 # and Cc: the <u-boot@lists.denx.de> mailing list. #
8 # # 8 # #
9 # Note: lists sorted by Maintainer Name # 9 # Note: lists sorted by Maintainer Name #
10 ######################################################################### 10 #########################################################################
11 11
12 12
13 ######################################################################### 13 #########################################################################
14 # PowerPC Systems: # 14 # PowerPC Systems: #
15 # # 15 # #
16 # Maintainer Name, Email Address # 16 # Maintainer Name, Email Address #
17 # Board CPU # 17 # Board CPU #
18 ######################################################################### 18 #########################################################################
19 19
20 Greg Allen <gallen@arlut.utexas.edu> 20 Greg Allen <gallen@arlut.utexas.edu>
21 21
22 UTX8245 MPC8245 22 UTX8245 MPC8245
23 23
24 Pantelis Antoniou <panto@intracom.gr> 24 Pantelis Antoniou <panto@intracom.gr>
25 25
26 NETVIA MPC8xx 26 NETVIA MPC8xx
27 27
28 Reinhard Arlt <reinhard.arlt@esd-electronics.com> 28 Reinhard Arlt <reinhard.arlt@esd-electronics.com>
29 29
30 cpci5200 MPC5200 30 cpci5200 MPC5200
31 mecp5200 MPC5200 31 mecp5200 MPC5200
32 pf5200 MPC5200 32 pf5200 MPC5200
33 33
34 CPCI750 PPC750FX/GX 34 CPCI750 PPC750FX/GX
35 35
36 Yuli Barcohen <yuli@arabellasw.com> 36 Yuli Barcohen <yuli@arabellasw.com>
37 37
38 Adder MPC87x/MPC852T 38 Adder MPC87x/MPC852T
39 ep8248 MPC8248 39 ep8248 MPC8248
40 ISPAN MPC8260 40 ISPAN MPC8260
41 MPC8260ADS MPC826x/MPC827x/MPC8280 41 MPC8260ADS MPC826x/MPC827x/MPC8280
42 Rattler MPC8248 42 Rattler MPC8248
43 ZPC1900 MPC8265 43 ZPC1900 MPC8265
44 44
45 Michael Barkowski <michael.barkowski@freescale.com> 45 Michael Barkowski <michael.barkowski@freescale.com>
46 46
47 MPC8323ERDB MPC8323 47 MPC8323ERDB MPC8323
48 48
49 Jerry Van Baren <gerald.vanbaren@smiths-aerospace.com> 49 Jerry Van Baren <gerald.vanbaren@smiths-aerospace.com>
50 50
51 sacsng MPC8260 51 sacsng MPC8260
52 52
53 Oliver Brown <obrown@adventnetworks.com> 53 Oliver Brown <obrown@adventnetworks.com>
54 54
55 gw8260 MPC8260 55 gw8260 MPC8260
56 56
57 Conn Clark <clark@esteem.com> 57 Conn Clark <clark@esteem.com>
58 58
59 ESTEEM192E MPC8xx 59 ESTEEM192E MPC8xx
60 60
61 Joe D'Abbraccio <ljd015@freescale.com> 61 Joe D'Abbraccio <ljd015@freescale.com>
62 62
63 MPC837xERDB MPC837x 63 MPC837xERDB MPC837x
64 64
65 Kári Davíðsson <kd@flaga.is> 65 Kári Davíðsson <kd@flaga.is>
66 66
67 FLAGADM MPC823 67 FLAGADM MPC823
68 68
69 Torsten Demke <torsten.demke@fci.com> 69 Torsten Demke <torsten.demke@fci.com>
70 70
71 eXalion MPC824x 71 eXalion MPC824x
72 72
73 Wolfgang Denk <wd@denx.de> 73 Wolfgang Denk <wd@denx.de>
74 74
75 IceCube_5100 MGT5100 75 IceCube_5100 MGT5100
76 IceCube_5200 MPC5200 76 IceCube_5200 MPC5200
77 77
78 AMX860 MPC860 78 AMX860 MPC860
79 ETX094 MPC850 79 ETX094 MPC850
80 FPS850L MPC850 80 FPS850L MPC850
81 FPS860L MPC860 81 FPS860L MPC860
82 ICU862 MPC862 82 ICU862 MPC862
83 IP860 MPC860 83 IP860 MPC860
84 IVML24 MPC860 84 IVML24 MPC860
85 IVML24_128 MPC860 85 IVML24_128 MPC860
86 IVML24_256 MPC860 86 IVML24_256 MPC860
87 IVMS8 MPC860 87 IVMS8 MPC860
88 IVMS8_128 MPC860 88 IVMS8_128 MPC860
89 IVMS8_256 MPC860 89 IVMS8_256 MPC860
90 LANTEC MPC850 90 LANTEC MPC850
91 LWMON MPC823 91 LWMON MPC823
92 NC650 MPC852 92 NC650 MPC852
93 R360MPI MPC823 93 R360MPI MPC823
94 RMU MPC850 94 RMU MPC850
95 RRvision MPC823 95 RRvision MPC823
96 SM850 MPC850 96 SM850 MPC850
97 SPD823TS MPC823 97 SPD823TS MPC823
98 TQM823L MPC823 98 TQM823L MPC823
99 TQM823L_LCD MPC823 99 TQM823L_LCD MPC823
100 TQM850L MPC850 100 TQM850L MPC850
101 TQM855L MPC855 101 TQM855L MPC855
102 TQM860L MPC860 102 TQM860L MPC860
103 TQM860L_FEC MPC860 103 TQM860L_FEC MPC860
104 c2mon MPC855 104 c2mon MPC855
105 hermes MPC860 105 hermes MPC860
106 lwmon MPC823 106 lwmon MPC823
107 pcu_e MPC855 107 pcu_e MPC855
108 108
109 CU824 MPC8240 109 CU824 MPC8240
110 Sandpoint8240 MPC8240 110 Sandpoint8240 MPC8240
111 SL8245 MPC8245 111 SL8245 MPC8245
112 112
113 ATC MPC8250 113 ATC MPC8250
114 PM825 MPC8250 114 PM825 MPC8250
115 115
116 TQM8255 MPC8255 116 TQM8255 MPC8255
117 117
118 CPU86 MPC8260 118 CPU86 MPC8260
119 PM826 MPC8260 119 PM826 MPC8260
120 TQM8260 MPC8260 120 TQM8260 MPC8260
121 121
122 P3G4 MPC7410 122 P3G4 MPC7410
123 123
124 PCIPPC2 MPC750 124 PCIPPC2 MPC750
125 PCIPPC6 MPC750 125 PCIPPC6 MPC750
126 126
127 EXBITGEN PPC405GP 127 EXBITGEN PPC405GP
128 128
129 Jon Diekema <jon.diekema@smiths-aerospace.com> 129 Jon Diekema <jon.diekema@smiths-aerospace.com>
130 130
131 sbc8260 MPC8260 131 sbc8260 MPC8260
132 132
133 Dirk Eibach <eibach@gdsys.de> 133 Dirk Eibach <eibach@gdsys.de>
134 134
135 neo PPC405EP 135 neo PPC405EP
136 136
137 Dave Ellis <DGE@sixnetio.com> 137 Dave Ellis <DGE@sixnetio.com>
138 138
139 SXNI855T MPC8xx 139 SXNI855T MPC8xx
140 140
141 Thomas Frieden <ThomasF@hyperion-entertainment.com> 141 Thomas Frieden <ThomasF@hyperion-entertainment.com>
142 142
143 AmigaOneG3SE MPC7xx 143 AmigaOneG3SE MPC7xx
144 144
145 Matthias Fuchs <matthias.fuchs@esd-electronics.com> 145 Matthias Fuchs <matthias.fuchs@esd-electronics.com>
146 146
147 ADCIOP IOP480 (PPC401) 147 ADCIOP IOP480 (PPC401)
148 APC405 PPC405GP 148 APC405 PPC405GP
149 AR405 PPC405GP 149 AR405 PPC405GP
150 ASH405 PPC405EP 150 ASH405 PPC405EP
151 CANBT PPC405CR 151 CANBT PPC405CR
152 CPCI2DP PPC405GP 152 CPCI2DP PPC405GP
153 CPCI405 PPC405GP 153 CPCI405 PPC405GP
154 CPCI4052 PPC405GP 154 CPCI4052 PPC405GP
155 CPCI405AB PPC405GP 155 CPCI405AB PPC405GP
156 CPCI405DT PPC405GP 156 CPCI405DT PPC405GP
157 CPCIISER4 PPC405GP 157 CPCIISER4 PPC405GP
158 DASA_SIM IOP480 (PPC401) 158 DASA_SIM IOP480 (PPC401)
159 DP405 PPC405EP 159 DP405 PPC405EP
160 DU405 PPC405GP 160 DU405 PPC405GP
161 DU440 PPC440EPx 161 DU440 PPC440EPx
162 G2000 PPC405EP 162 G2000 PPC405EP
163 HH405 PPC405EP 163 HH405 PPC405EP
164 HUB405 PPC405EP 164 HUB405 PPC405EP
165 OCRTC PPC405GP 165 OCRTC PPC405GP
166 ORSG PPC405GP 166 ORSG PPC405GP
167 PCI405 PPC405GP 167 PCI405 PPC405GP
168 PLU405 PPC405EP 168 PLU405 PPC405EP
169 PMC405 PPC405GP 169 PMC405 PPC405GP
170 PMC440 PPC440EPx 170 PMC440 PPC440EPx
171 VOH405 PPC405EP 171 VOH405 PPC405EP
172 VOM405 PPC405EP 172 VOM405 PPC405EP
173 WUH405 PPC405EP 173 WUH405 PPC405EP
174 CMS700 PPC405EP 174 CMS700 PPC405EP
175 175
176 Niklaus Giger <niklaus.giger@netstal.com> 176 Niklaus Giger <niklaus.giger@netstal.com>
177 177
178 HCU4 PPC405GPr 178 HCU4 PPC405GPr
179 MCU25 PPC405GPr 179 MCU25 PPC405GPr
180 HCU5 PPC440EPx 180 HCU5 PPC440EPx
181 181
182 Frank Gottschling <fgottschling@eltec.de> 182 Frank Gottschling <fgottschling@eltec.de>
183 183
184 MHPC MPC8xx 184 MHPC MPC8xx
185 185
186 BAB7xx MPC740/MPC750 186 BAB7xx MPC740/MPC750
187 187
188 Wolfgang Grandegger <wg@denx.de> 188 Wolfgang Grandegger <wg@denx.de>
189 189
190 CCM MPC855 190 CCM MPC855
191 191
192 PN62 MPC8240 192 PN62 MPC8240
193 IPHASE4539 MPC8260 193 IPHASE4539 MPC8260
194 SCM MPC8260 194 SCM MPC8260
195 195
196 Joe Hamman <joe.hamman@embeddedspecialties.com> 196 Joe Hamman <joe.hamman@embeddedspecialties.com>
197 197
198 sbc8548 MPC8548 198 sbc8548 MPC8548
199 sbc8641d MPC8641D 199 sbc8641d MPC8641D
200 200
201 Klaus Heydeck <heydeck@kieback-peter.de> 201 Klaus Heydeck <heydeck@kieback-peter.de>
202 202
203 KUP4K MPC855 203 KUP4K MPC855
204 KUP4X MPC859 204 KUP4X MPC859
205 205
206 Gary Jennejohn <garyj@denx.de> 206 Gary Jennejohn <garyj@denx.de>
207 207
208 quad100hd PPC405EP 208 quad100hd PPC405EP
209 209
210 Murray Jensen <Murray.Jensen@csiro.au> 210 Murray Jensen <Murray.Jensen@csiro.au>
211 211
212 cogent_mpc8xx MPC8xx 212 cogent_mpc8xx MPC8xx
213 213
214 cogent_mpc8260 MPC8260 214 cogent_mpc8260 MPC8260
215 hymod MPC8260 215 hymod MPC8260
216 216
217 Larry Johnson <lrj@acm.org> 217 Larry Johnson <lrj@acm.org>
218 218
219 korat PPC440EPx 219 korat PPC440EPx
220 220
221 Brad Kemp <Brad.Kemp@seranoa.com> 221 Brad Kemp <Brad.Kemp@seranoa.com>
222 222
223 ppmc8260 MPC8260 223 ppmc8260 MPC8260
224 224
225 Sangmoon Kim <dogoil@etinsys.com> 225 Sangmoon Kim <dogoil@etinsys.com>
226 226
227 debris MPC8245 227 debris MPC8245
228 KVME080 MPC8245 228 KVME080 MPC8245
229 229
230 Thomas Lange <thomas@corelatus.se> 230 Thomas Lange <thomas@corelatus.se>
231 231
232 GTH MPC860 232 GTH MPC860
233 233
234 Robert Lazarski <robertlazarski@gmail.com> 234 Robert Lazarski <robertlazarski@gmail.com>
235 235
236 ATUM8548 MPC8548 236 ATUM8548 MPC8548
237 237
238 The LEOX team <team@leox.org> 238 The LEOX team <team@leox.org>
239 239
240 ELPT860 MPC860T 240 ELPT860 MPC860T
241 241
242 Guennadi Liakhovetski <g.liakhovetski@gmx.de> 242 Guennadi Liakhovetski <g.liakhovetski@gmx.de>
243 243
244 linkstation MPC8241 244 linkstation MPC8241
245 245
246 Dave Liu <daveliu@freescale.com> 246 Dave Liu <daveliu@freescale.com>
247 247
248 MPC8315ERDB MPC8315 248 MPC8315ERDB MPC8315
249 MPC832XEMDS MPC832x 249 MPC832XEMDS MPC832x
250 MPC8360EMDS MPC8360 250 MPC8360EMDS MPC8360
251 MPC837XEMDS MPC837x 251 MPC837XEMDS MPC837x
252 252
253 Nye Liu <nyet@zumanetworks.com> 253 Nye Liu <nyet@zumanetworks.com>
254 254
255 ZUMA MPC7xx_74xx 255 ZUMA MPC7xx_74xx
256 256
257 Jon Loeliger <jdl@freescale.com> 257 Jon Loeliger <jdl@freescale.com>
258 258
259 MPC8540ADS MPC8540 259 MPC8540ADS MPC8540
260 MPC8560ADS MPC8560 260 MPC8560ADS MPC8560
261 MPC8541CDS MPC8541 261 MPC8541CDS MPC8541
262 MPC8555CDS MPC8555 262 MPC8555CDS MPC8555
263 263
264 MPC8641HPCN MPC8641D 264 MPC8641HPCN MPC8641D
265 265
266 Ron Madrid <info@sheldoninst.com> 266 Ron Madrid <info@sheldoninst.com>
267 267
268 SIMPC8313 MPC8313 268 SIMPC8313 MPC8313
269 269
270 Dan Malek <dan@embeddedalley.com> 270 Dan Malek <dan@embeddedalley.com>
271 271
272 stxgp3 MPC85xx 272 stxgp3 MPC85xx
273 stxssa MPC85xx 273 stxssa MPC85xx
274 stxxtc MPC8xx 274 stxxtc MPC8xx
275 275
276 Eran Man <eran@nbase.co.il> 276 Eran Man <eran@nbase.co.il>
277 277
278 EVB64260_750CX MPC750CX 278 EVB64260_750CX MPC750CX
279 279
280 Andrea "llandre" Marson <andrea.marson@dave-tech.it> 280 Andrea "llandre" Marson <andrea.marson@dave-tech.it>
281 281
282 PPChameleonEVB PPC405EP 282 PPChameleonEVB PPC405EP
283 283
284 Reinhard Meyer <r.meyer@emk-elektronik.de> 284 Reinhard Meyer <r.meyer@emk-elektronik.de>
285 285
286 TOP860 MPC860T 286 TOP860 MPC860T
287 TOP5200 MPC5200 287 TOP5200 MPC5200
288 288
289 Tolunay Orkun <torkun@nextio.com> 289 Tolunay Orkun <torkun@nextio.com>
290 290
291 csb272 PPC405GP 291 csb272 PPC405GP
292 csb472 PPC405GP 292 csb472 PPC405GP
293 293
294 John Otken <jotken@softadvances.com> 294 John Otken <jotken@softadvances.com>
295 295
296 luan PPC440SP 296 luan PPC440SP
297 taihu PPC405EP 297 taihu PPC405EP
298 298
299 Keith Outwater <Keith_Outwater@mvis.com> 299 Keith Outwater <Keith_Outwater@mvis.com>
300 300
301 GEN860T MPC860T 301 GEN860T MPC860T
302 GEN860T_SC MPC860T 302 GEN860T_SC MPC860T
303 303
304 Frank Panno <fpanno@delphintech.com> 304 Frank Panno <fpanno@delphintech.com>
305 305
306 ep8260 MPC8260 306 ep8260 MPC8260
307 307
308 Denis Peter <d.peter@mpl.ch> 308 Denis Peter <d.peter@mpl.ch>
309 309
310 MIP405 PPC4xx 310 MIP405 PPC4xx
311 PIP405 PPC4xx 311 PIP405 PPC4xx
312 312
313 Kim Phillips <kim.phillips@freescale.com> 313 Kim Phillips <kim.phillips@freescale.com>
314 314
315 MPC8349EMDS MPC8349 315 MPC8349EMDS MPC8349
316 316
317 Daniel Poirot <dan.poirot@windriver.com> 317 Daniel Poirot <dan.poirot@windriver.com>
318 318
319 sbc8240 MPC8240 319 sbc8240 MPC8240
320 sbc405 PPC405GP 320 sbc405 PPC405GP
321 321
322 Ricardo Ribalda <ricardo.ribalda@uam.es> 322 Ricardo Ribalda <ricardo.ribalda@uam.es>
323 323
324 ml507 PPC440x5 324 ml507 PPC440x5
325 v5fx30teval PPC440x5 325 v5fx30teval PPC440x5
326 xilinx-ppc405-generic PPC405 326 xilinx-ppc405-generic PPC405
327 xilinx-ppc440-generic PPC440x5 327 xilinx-ppc440-generic PPC440x5
328 328
329 Stefan Roese <sr@denx.de> 329 Stefan Roese <sr@denx.de>
330 330
331 P3M7448 MPC7448 331 P3M7448 MPC7448
332 332
333 uc100 MPC857 333 uc100 MPC857
334 334
335 TQM85xx MPC8540/8541/8555/8560 335 TQM85xx MPC8540/8541/8555/8560
336 336
337 acadia PPC405EZ 337 acadia PPC405EZ
338 alpr PPC440GX 338 alpr PPC440GX
339 bamboo PPC440EP 339 bamboo PPC440EP
340 bunbinga PPC405EP 340 bunbinga PPC405EP
341 canyonlands PPC460EX 341 canyonlands PPC460EX
342 ebony PPC440GP 342 ebony PPC440GP
343 glacier PPC460GT 343 glacier PPC460GT
344 haleakala PPC405EXr 344 haleakala PPC405EXr
345 katmai PPC440SPe 345 katmai PPC440SPe
346 kilauea PPC405EX 346 kilauea PPC405EX
347 lwmon5 PPC440EPx 347 lwmon5 PPC440EPx
348 makalu PPC405EX 348 makalu PPC405EX
349 ocotea PPC440GX 349 ocotea PPC440GX
350 p3p440 PPC440GP 350 p3p440 PPC440GP
351 pcs440ep PPC440EP 351 pcs440ep PPC440EP
352 rainier PPC440GRx 352 rainier PPC440GRx
353 sequoia PPC440EPx 353 sequoia PPC440EPx
354 sycamore PPC405GPr 354 sycamore PPC405GPr
355 taishan PPC440GX 355 taishan PPC440GX
356 walnut PPC405GP 356 walnut PPC405GP
357 yellowstone PPC440GR 357 yellowstone PPC440GR
358 yosemite PPC440EP 358 yosemite PPC440EP
359 zeus PPC405EP 359 zeus PPC405EP
360 360
361 P3M750 PPC750FX/GX/GL 361 P3M750 PPC750FX/GX/GL
362 362
363 Yusdi Santoso <yusdi_santoso@adaptec.com> 363 Yusdi Santoso <yusdi_santoso@adaptec.com>
364 364
365 HIDDEN_DRAGON MPC8241/MPC8245 365 HIDDEN_DRAGON MPC8241/MPC8245
366 366
367 Travis Sawyer (travis.sawyer@sandburst.com> 367 Travis Sawyer (travis.sawyer@sandburst.com>
368 368
369 KAREF PPC440GX 369 KAREF PPC440GX
370 METROBOX PPC440GX 370 METROBOX PPC440GX
371 XPEDITE1K PPC440GX 371 XPEDITE1K PPC440GX
372 372
373 Georg Schardt <schardt@team-ctech.de> 373 Georg Schardt <schardt@team-ctech.de>
374 374
375 fx12mm PPC405 375 fx12mm PPC405
376 376
377 Heiko Schocher <hs@denx.de> 377 Heiko Schocher <hs@denx.de>
378 378
379 ids8247 MPC8247 379 ids8247 MPC8247
380 jupiter MPC5200 380 jupiter MPC5200
381 kmeter1 MPC8360 381 kmeter1 MPC8360
382 mgcoge MPC8247 382 mgcoge MPC8247
383 mgsuvd MPC852 383 mgsuvd MPC852
384 mucmc52 MPC5200 384 mucmc52 MPC5200
385 muas3001 MPC8270 385 muas3001 MPC8270
386 municse MPC5200 386 municse MPC5200
387 sc3 PPC405GP 387 sc3 PPC405GP
388 uc101 MPC5200 388 uc101 MPC5200
389 389
390 390
391 Peter De Schrijver <p2@mind.be> 391 Peter De Schrijver <p2@mind.be>
392 392
393 ML2 PPC4xx 393 ML2 PPC4xx
394 394
395 Andre Schwarz <andre.schwarz@matrix-vision.de> 395 Andre Schwarz <andre.schwarz@matrix-vision.de>
396 396
397 mvbc_p MPC5200 397 mvbc_p MPC5200
398 mvblm7 MPC8343 398 mvblm7 MPC8343
399 399
400 Timur Tabi <timur@freescale.com> 400 Timur Tabi <timur@freescale.com>
401 401
402 MPC8349E-mITX MPC8349 402 MPC8349E-mITX MPC8349
403 MPC8349E-mITX-GP MPC8349 403 MPC8349E-mITX-GP MPC8349
404 404
405 Erik Theisen <etheisen@mindspring.com> 405 Erik Theisen <etheisen@mindspring.com>
406 406
407 W7OLMC PPC4xx 407 W7OLMC PPC4xx
408 W7OLMG PPC4xx 408 W7OLMG PPC4xx
409 409
410 Jim Thompson <jim@musenki.com> 410 Jim Thompson <jim@musenki.com>
411 411
412 MUSENKI MPC8245/8241 412 MUSENKI MPC8245/8241
413 Sandpoint8245 MPC8245 413 Sandpoint8245 MPC8245
414 414
415 Rune Torgersen <runet@innovsys.com> 415 Rune Torgersen <runet@innovsys.com>
416 416
417 MPC8266ADS MPC8266 417 MPC8266ADS MPC8266
418 418
419 Peter Tyser <ptyser@xes-inc.com> 419 Peter Tyser <ptyser@xes-inc.com>
420 420
421 XPEDITE5200 MPC8548 421 XPEDITE5200 MPC8548
422 XPEDITE5370 MPC8572 422 XPEDITE5370 MPC8572
423 423
424 David Updegraff <dave@cray.com> 424 David Updegraff <dave@cray.com>
425 425
426 CRAYL1 PPC4xx 426 CRAYL1 PPC4xx
427 427
428 Anton Vorontsov <avorontsov@ru.mvista.com> 428 Anton Vorontsov <avorontsov@ru.mvista.com>
429 429
430 MPC8360ERDK MPC8360 430 MPC8360ERDK MPC8360
431 431
432 Josef Wagner <Wagner@Microsys.de> 432 Josef Wagner <Wagner@Microsys.de>
433 433
434 CPC45 MPC8245 434 CPC45 MPC8245
435 PM520 MPC5200 435 PM520 MPC5200
436 436
437 Stephen Williams <steve@icarus.com> 437 Stephen Williams <steve@icarus.com>
438 438
439 JSE PPC405GPr 439 JSE PPC405GPr
440 440
441 Roy Zang <tie-fei.zang@freescale.com> 441 Roy Zang <tie-fei.zang@freescale.com>
442 442
443 mpc7448hpc2 MPC7448 443 mpc7448hpc2 MPC7448
444 444
445 John Zhan <zhanz@sinovee.com> 445 John Zhan <zhanz@sinovee.com>
446 446
447 svm_sc8xx MPC8xx 447 svm_sc8xx MPC8xx
448 448
449 Feng Kan <fkan@amcc.com> 449 Feng Kan <fkan@amcc.com>
450 450
451 redwood PPC4xx 451 redwood PPC4xx
452 ------------------------------------------------------------------------- 452 -------------------------------------------------------------------------
453 453
454 Unknown / orphaned boards: 454 Unknown / orphaned boards:
455 455
456 ADS860 MPC8xx 456 ADS860 MPC8xx
457 FADS823 MPC8xx 457 FADS823 MPC8xx
458 FADS850SAR MPC8xx 458 FADS850SAR MPC8xx
459 FADS860T MPC8xx 459 FADS860T MPC8xx
460 GENIETV MPC8xx 460 GENIETV MPC8xx
461 IAD210 MPC8xx 461 IAD210 MPC8xx
462 MBX MPC8xx 462 MBX MPC8xx
463 MBX860T MPC8xx 463 MBX860T MPC8xx
464 NX823 MPC8xx 464 NX823 MPC8xx
465 RPXClassic MPC8xx 465 RPXClassic MPC8xx
466 RPXlite MPC8xx 466 RPXlite MPC8xx
467 467
468 ERIC PPC4xx 468 ERIC PPC4xx
469 469
470 MOUSSE MPC824x 470 MOUSSE MPC824x
471 471
472 RPXsuper MPC8260 472 RPXsuper MPC8260
473 rsdproto MPC8260 473 rsdproto MPC8260
474 474
475 EVB64260 MPC7xx_74xx 475 EVB64260 MPC7xx_74xx
476 476
477 477
478 ######################################################################### 478 #########################################################################
479 # ARM Systems: # 479 # ARM Systems: #
480 # # 480 # #
481 # Maintainer Name, Email Address # 481 # Maintainer Name, Email Address #
482 # Board CPU # 482 # Board CPU #
483 ######################################################################### 483 #########################################################################
484 484
485 Rowel Atienza <rowel@diwalabs.com> 485 Rowel Atienza <rowel@diwalabs.com>
486 486
487 armadillo ARM720T 487 armadillo ARM720T
488 488
489 Dirk Behme <dirk.behme@gmail.com> 489 Dirk Behme <dirk.behme@gmail.com>
490 490
491 omap3_beagle ARM CORTEX-A8 (OMAP3530 SoC) 491 omap3_beagle ARM CORTEX-A8 (OMAP3530 SoC)
492 492
493 Rishi Bhattacharya <rishi@ti.com> 493 Rishi Bhattacharya <rishi@ti.com>
494 494
495 omap5912osk ARM926EJS 495 omap5912osk ARM926EJS
496 496
497 Cliff Brake <cliff.brake@gmail.com> 497 Cliff Brake <cliff.brake@gmail.com>
498 498
499 pxa255_idp xscale 499 pxa255_idp xscale
500 500
501 Rick Bronson <rick@efn.org> 501 Rick Bronson <rick@efn.org>
502 502
503 AT91RM9200DK at91rm9200 503 AT91RM9200DK at91rm9200
504 504
505 George G. Davis <gdavis@mvista.com> 505 George G. Davis <gdavis@mvista.com>
506 506
507 assabet SA1100 507 assabet SA1100
508 gcplus SA1100 508 gcplus SA1100
509 509
510 Thomas Elste <info@elste.org> 510 Thomas Elste <info@elste.org>
511 511
512 modnet50 ARM720T (NET+50) 512 modnet50 ARM720T (NET+50)
513 513
514 Peter Figuli <peposh@etc.sk> 514 Peter Figuli <peposh@etc.sk>
515 515
516 wepep250 xscale 516 wepep250 xscale
517 517
518 Marius Gröger <mag@sysgo.de> 518 Marius Gröger <mag@sysgo.de>
519 519
520 impa7 ARM720T (EP7211) 520 impa7 ARM720T (EP7211)
521 ep7312 ARM720T (EP7312) 521 ep7312 ARM720T (EP7312)
522 522
523 Kshitij Gupta <kshitij@ti.com> 523 Kshitij Gupta <kshitij@ti.com>
524 524
525 omap1510inn ARM925T 525 omap1510inn ARM925T
526 omap1610inn ARM926EJS 526 omap1610inn ARM926EJS
527 527
528 Sascha Hauer <s.hauer@pengutronix.de> 528 Sascha Hauer <s.hauer@pengutronix.de>
529 529
530 imx31_litekit i.MX31 530 imx31_litekit i.MX31
531 imx31_phycore i.MX31 531 imx31_phycore i.MX31
532 532
533 Gary Jennejohn <gj@denx.de> 533 Gary Jennejohn <gj@denx.de>
534 534
535 smdk2400 ARM920T 535 smdk2400 ARM920T
536 trab ARM920T 536 trab ARM920T
537 537
538 Konstantin Kletschke <kletschke@synertronixx.de> 538 Konstantin Kletschke <kletschke@synertronixx.de>
539 scb9328 ARM920T 539 scb9328 ARM920T
540 540
541 Nishant Kamat <nskamat@ti.com> 541 Nishant Kamat <nskamat@ti.com>
542 542
543 omap1610h2 ARM926EJS 543 omap1610h2 ARM926EJS
544 544
545 Sergey Kubushyn <ksi@koi8.net> 545 Sergey Kubushyn <ksi@koi8.net>
546 546
547 DV-EVM ARM926EJS 547 DV-EVM ARM926EJS
548 SONATA ARM926EJS 548 SONATA ARM926EJS
549 SCHMOOGIE ARM926EJS 549 SCHMOOGIE ARM926EJS
550 550
551 Prakash Kumar <prakash@embedx.com> 551 Prakash Kumar <prakash@embedx.com>
552 552
553 cerf250 xscale 553 cerf250 xscale
554 554
555 Guennadi Liakhovetski <g.liakhovetski@gmx.de> 555 Guennadi Liakhovetski <g.liakhovetski@gmx.de>
556 556
557 mx31ads i.MX31 557 mx31ads i.MX31
558 SMDK6400 S3C6400 558 SMDK6400 S3C6400
559 559
560 David Müller <d.mueller@elsoft.ch> 560 David Müller <d.mueller@elsoft.ch>
561 561
562 smdk2410 ARM920T 562 smdk2410 ARM920T
563 VCMA9 ARM920T 563 VCMA9 ARM920T
564 564
565 Rolf Offermanns <rof@sysgo.de> 565 Rolf Offermanns <rof@sysgo.de>
566 566
567 shannon SA1100 567 shannon SA1100
568 568
569 Kyungmin Park <kyungmin.park@samsung.com> 569 Kyungmin Park <kyungmin.park@samsung.com>
570 570
571 apollon ARM1136EJS 571 apollon ARM1136EJS
572 572
573 Peter Pearse <peter.pearse@arm.com> 573 Peter Pearse <peter.pearse@arm.com>
574 integratorcp All current ARM supplied & supported core modules 574 integratorcp All current ARM supplied & supported core modules
575 -see http://www.arm.com/products/DevTools/Hardware_Platforms.html 575 -see http://www.arm.com/products/DevTools/Hardware_Platforms.html
576 versatile ARM926EJ-S 576 versatile ARM926EJ-S
577 versatile ARM926EJ-S 577 versatile ARM926EJ-S
578 578
579 Dave Peverley <dpeverley@mpc-data.co.uk> 579 Dave Peverley <dpeverley@mpc-data.co.uk>
580 580
581 omap730p2 ARM926EJS 581 omap730p2 ARM926EJS
582 582
583 Stelian Pop <stelian.pop@leadtechdesign.com> 583 Stelian Pop <stelian.pop@leadtechdesign.com>
584 584
585 at91cap9adk ARM926EJS (AT91CAP9 SoC) 585 at91cap9adk ARM926EJS (AT91CAP9 SoC)
586 at91sam9260ek ARM926EJS (AT91SAM9260 SoC) 586 at91sam9260ek ARM926EJS (AT91SAM9260 SoC)
587 at91sam9261ek ARM926EJS (AT91SAM9261 SoC) 587 at91sam9261ek ARM926EJS (AT91SAM9261 SoC)
588 at91sam9263ek ARM926EJS (AT91SAM9263 SoC) 588 at91sam9263ek ARM926EJS (AT91SAM9263 SoC)
589 at91sam9rlek ARM926EJS (AT91SAM9RL SoC) 589 at91sam9rlek ARM926EJS (AT91SAM9RL SoC)
590 590
591 Stefan Roese <sr@denx.de> 591 Stefan Roese <sr@denx.de>
592 592
593 ixdpg425 xscale 593 ixdpg425 xscale
594 pdnb3 xscale 594 pdnb3 xscale
595 scpu xscale 595 scpu xscale
596 596
597 Alessandro Rubini <rubini@unipv.it> 597 Alessandro Rubini <rubini@unipv.it>
598 Nomadik Linux Team <STN_WMM_nomadik_linux@list.st.com> 598 Nomadik Linux Team <STN_WMM_nomadik_linux@list.st.com>
599 599
600 nmdk8815 ARM926EJS (Nomadik 8815 Soc) 600 nmdk8815 ARM926EJS (Nomadik 8815 Soc)
601 601
602 Steve Sakoman <sakoman@gmail.com>
603
604 omap3_overo ARM CORTEX-A8 (OMAP3xx SoC)
605
602 Robert Schwebel <r.schwebel@pengutronix.de> 606 Robert Schwebel <r.schwebel@pengutronix.de>
603 607
604 csb226 xscale 608 csb226 xscale
605 innokom xscale 609 innokom xscale
606 610
607 Michael Schwingen <michael@schwingen.org> 611 Michael Schwingen <michael@schwingen.org>
608 612
609 actux1 xscale 613 actux1 xscale
610 actux2 xscale 614 actux2 xscale
611 actux3 xscale 615 actux3 xscale
612 actux4 xscale 616 actux4 xscale
613 617
614 Andrea Scian <andrea.scian@dave-tech.it> 618 Andrea Scian <andrea.scian@dave-tech.it>
615 619
616 B2 ARM7TDMI (S3C44B0X) 620 B2 ARM7TDMI (S3C44B0X)
617 621
618 Greg Ungerer <greg.ungerer@opengear.com> 622 Greg Ungerer <greg.ungerer@opengear.com>
619 623
620 cm4008 ks8695p 624 cm4008 ks8695p
621 cm4116 ks8695p 625 cm4116 ks8695p
622 cm4148 ks8695p 626 cm4148 ks8695p
623 627
624 Hugo Villeneuve <hugo.villeneuve@lyrtech.com> 628 Hugo Villeneuve <hugo.villeneuve@lyrtech.com>
625 629
626 SFFSDR ARM926EJS 630 SFFSDR ARM926EJS
627 631
628 Richard Woodruff <r-woodruff2@ti.com> 632 Richard Woodruff <r-woodruff2@ti.com>
629 633
630 omap2420h4 ARM1136EJS 634 omap2420h4 ARM1136EJS
631 635
632 Alex Züpke <azu@sysgo.de> 636 Alex Züpke <azu@sysgo.de>
633 637
634 lart SA1100 638 lart SA1100
635 dnp1110 SA1110 639 dnp1110 SA1110
636 640
637 Sergey Lapin <slapin@ossfans.org> 641 Sergey Lapin <slapin@ossfans.org>
638 642
639 afeb9260 ARM926EJS (AT91SAM9260 SoC) 643 afeb9260 ARM926EJS (AT91SAM9260 SoC)
640 644
641 ------------------------------------------------------------------------- 645 -------------------------------------------------------------------------
642 646
643 Unknown / orphaned boards: 647 Unknown / orphaned boards:
644 Board CPU Last known maintainer / Comment 648 Board CPU Last known maintainer / Comment
645 ......................................................................... 649 .........................................................................
646 cradle xscale Kyle Harris <kharris@nexus-tech.net> / dead address 650 cradle xscale Kyle Harris <kharris@nexus-tech.net> / dead address
647 ixdp425 xscale Kyle Harris <kharris@nexus-tech.net> / dead address 651 ixdp425 xscale Kyle Harris <kharris@nexus-tech.net> / dead address
648 lubbock xscale Kyle Harris <kharris@nexus-tech.net> / dead address 652 lubbock xscale Kyle Harris <kharris@nexus-tech.net> / dead address
649 653
650 ######################################################################### 654 #########################################################################
651 # x86 Systems: # 655 # x86 Systems: #
652 # # 656 # #
653 # Maintainer Name, Email Address # 657 # Maintainer Name, Email Address #
654 # Board CPU # 658 # Board CPU #
655 ######################################################################### 659 #########################################################################
656 660
657 Daniel Engström <daniel@omicron.se> 661 Daniel Engström <daniel@omicron.se>
658 662
659 sc520_cdp x86 663 sc520_cdp x86
660 664
661 ######################################################################### 665 #########################################################################
662 # MIPS Systems: # 666 # MIPS Systems: #
663 # # 667 # #
664 # Maintainer Name, Email Address # 668 # Maintainer Name, Email Address #
665 # Board CPU # 669 # Board CPU #
666 ######################################################################### 670 #########################################################################
667 671
668 Wolfgang Denk <wd@denx.de> 672 Wolfgang Denk <wd@denx.de>
669 673
670 incaip MIPS32 4Kc 674 incaip MIPS32 4Kc
671 purple MIPS64 5Kc 675 purple MIPS64 5Kc
672 676
673 Thomas Lange <thomas@corelatus.se> 677 Thomas Lange <thomas@corelatus.se>
674 dbau1x00 MIPS32 Au1000 678 dbau1x00 MIPS32 Au1000
675 gth2 MIPS32 Au1000 679 gth2 MIPS32 Au1000
676 680
677 Vlad Lungu <vlad.lungu@windriver.com> 681 Vlad Lungu <vlad.lungu@windriver.com>
678 qemu_mips MIPS32 682 qemu_mips MIPS32
679 683
680 ######################################################################### 684 #########################################################################
681 # Nios-32 Systems: # 685 # Nios-32 Systems: #
682 # # 686 # #
683 # Maintainer Name, Email Address # 687 # Maintainer Name, Email Address #
684 # Board CPU # 688 # Board CPU #
685 ######################################################################### 689 #########################################################################
686 690
687 Stephan Linz <linz@li-pro.net> 691 Stephan Linz <linz@li-pro.net>
688 692
689 DK1S10 Nios-32 693 DK1S10 Nios-32
690 ADNPESC1 Nios-32 694 ADNPESC1 Nios-32
691 695
692 Scott McNutt <smcnutt@psyent.com> 696 Scott McNutt <smcnutt@psyent.com>
693 697
694 DK1C20 Nios-32 698 DK1C20 Nios-32
695 699
696 ######################################################################### 700 #########################################################################
697 # Nios-II Systems: # 701 # Nios-II Systems: #
698 # # 702 # #
699 # Maintainer Name, Email Address # 703 # Maintainer Name, Email Address #
700 # Board CPU # 704 # Board CPU #
701 ######################################################################### 705 #########################################################################
702 706
703 Scott McNutt <smcnutt@psyent.com> 707 Scott McNutt <smcnutt@psyent.com>
704 708
705 PCI5441 Nios-II 709 PCI5441 Nios-II
706 PK1C20 Nios-II 710 PK1C20 Nios-II
707 EP1C20 Nios-II 711 EP1C20 Nios-II
708 EP1S10 Nios-II 712 EP1S10 Nios-II
709 EP1S40 Nios-II 713 EP1S40 Nios-II
710 714
711 ######################################################################### 715 #########################################################################
712 # MicroBlaze Systems: # 716 # MicroBlaze Systems: #
713 # # 717 # #
714 # Maintainer Name, Email Address # 718 # Maintainer Name, Email Address #
715 # Board CPU # 719 # Board CPU #
716 ######################################################################### 720 #########################################################################
717 721
718 Yasushi Shoji <yashi@atmark-techno.com> 722 Yasushi Shoji <yashi@atmark-techno.com>
719 723
720 SUZAKU MicroBlaze 724 SUZAKU MicroBlaze
721 725
722 Michal Simek <monstr@monstr.eu> 726 Michal Simek <monstr@monstr.eu>
723 727
724 microblaze-generic MicroBlaze 728 microblaze-generic MicroBlaze
725 729
726 ######################################################################### 730 #########################################################################
727 # Coldfire Systems: # 731 # Coldfire Systems: #
728 # # 732 # #
729 # Maintainer Name, Email Address # 733 # Maintainer Name, Email Address #
730 # Board CPU # 734 # Board CPU #
731 ######################################################################### 735 #########################################################################
732 736
733 Matthias Fuchs <matthias.fuchs@esd-electronics.com> 737 Matthias Fuchs <matthias.fuchs@esd-electronics.com>
734 738
735 TASREG MCF5249 739 TASREG MCF5249
736 740
737 Hayden Fraser <Hayden.Fraser@freescale.com> 741 Hayden Fraser <Hayden.Fraser@freescale.com>
738 742
739 M5253EVBE mcf52x2 743 M5253EVBE mcf52x2
740 744
741 TsiChung Liew <Tsi-Chung.Liew@freescale.com> 745 TsiChung Liew <Tsi-Chung.Liew@freescale.com>
742 746
743 M52277EVB mcf5227x 747 M52277EVB mcf5227x
744 M5235EVB mcf52x2 748 M5235EVB mcf52x2
745 M5253DEMO mcf52x2 749 M5253DEMO mcf52x2
746 M53017EVB mcf532x 750 M53017EVB mcf532x
747 M5329EVB mcf532x 751 M5329EVB mcf532x
748 M5373EVB mcf532x 752 M5373EVB mcf532x
749 M54455EVB mcf5445x 753 M54455EVB mcf5445x
750 M5475EVB mcf547x_8x 754 M5475EVB mcf547x_8x
751 M5485EVB mcf547x_8x 755 M5485EVB mcf547x_8x
752 756
753 ######################################################################### 757 #########################################################################
754 # AVR32 Systems: # 758 # AVR32 Systems: #
755 # # 759 # #
756 # Maintainer Name, Email Address # 760 # Maintainer Name, Email Address #
757 # Board CPU # 761 # Board CPU #
758 ######################################################################### 762 #########################################################################
759 763
760 Hans-Christian Egtvedt <hans-christian.egtvedt@atmel.com> 764 Hans-Christian Egtvedt <hans-christian.egtvedt@atmel.com>
761 765
762 FAVR-32-EZKIT AT32AP7000 766 FAVR-32-EZKIT AT32AP7000
763 767
764 Mark Jackson <mpfj@mimc.co.uk> 768 Mark Jackson <mpfj@mimc.co.uk>
765 769
766 MIMC200 AT32AP7000 770 MIMC200 AT32AP7000
767 771
768 Alex Raimondi <alex.raimondi@miromico.ch> 772 Alex Raimondi <alex.raimondi@miromico.ch>
769 Julien May <julien.may@miromico.ch> 773 Julien May <julien.may@miromico.ch>
770 774
771 HAMMERHEAD AT32AP7000 775 HAMMERHEAD AT32AP7000
772 776
773 Haavard Skinnemoen <haavard.skinnemoen@atmel.com> 777 Haavard Skinnemoen <haavard.skinnemoen@atmel.com>
774 778
775 ATSTK1000 AT32AP7xxx 779 ATSTK1000 AT32AP7xxx
776 ATSTK1002 AT32AP7000 780 ATSTK1002 AT32AP7000
777 ATSTK1003 AT32AP7001 781 ATSTK1003 AT32AP7001
778 ATSTK1004 AT32AP7002 782 ATSTK1004 AT32AP7002
779 ATSTK1006 AT32AP7000 783 ATSTK1006 AT32AP7000
780 ATNGW100 AT32AP7000 784 ATNGW100 AT32AP7000
781 785
782 ######################################################################### 786 #########################################################################
783 # SuperH Systems: # 787 # SuperH Systems: #
784 # # 788 # #
785 # Maintainer Name, Email Address # 789 # Maintainer Name, Email Address #
786 # Board CPU # 790 # Board CPU #
787 ######################################################################### 791 #########################################################################
788 792
789 Yusuke Goda <goda.yusuke@renesas.com> 793 Yusuke Goda <goda.yusuke@renesas.com>
790 794
791 MIGO-R SH7722 795 MIGO-R SH7722
792 796
793 Nobuhiro Iwamatsu <iwamatsu@nigauri.org> 797 Nobuhiro Iwamatsu <iwamatsu@nigauri.org>
794 <iwamatsu.nobuhiro@renesas.com> 798 <iwamatsu.nobuhiro@renesas.com>
795 799
796 MS7750SE SH7750 800 MS7750SE SH7750
797 MS7722SE SH7722 801 MS7722SE SH7722
798 R7780MP SH7780 802 R7780MP SH7780
799 R2DPlus SH7751R 803 R2DPlus SH7751R
800 SH7763RDP SH7763 804 SH7763RDP SH7763
801 RSK7203 SH7203 805 RSK7203 SH7203
802 AP325RXA SH7723 806 AP325RXA SH7723
803 807
804 Mark Jonas <mark.jonas@de.bosch.com> 808 Mark Jonas <mark.jonas@de.bosch.com>
805 809
806 mpr2 SH7720 810 mpr2 SH7720
807 811
808 Yoshihiro Shimoda <shimoda.yoshihiro@renesas.com> 812 Yoshihiro Shimoda <shimoda.yoshihiro@renesas.com>
809 813
810 MS7720SE SH7720 814 MS7720SE SH7720
811 R0P77850011RL SH7785 815 R0P77850011RL SH7785
812 816
813 Yusuke Goda <goda.yusuke@renesas.com> 817 Yusuke Goda <goda.yusuke@renesas.com>
814 818
815 MIGO-R SH7722 819 MIGO-R SH7722
816 820
817 ######################################################################### 821 #########################################################################
818 # Blackfin Systems: # 822 # Blackfin Systems: #
819 # # 823 # #
820 # Maintainer Name, Email Address # 824 # Maintainer Name, Email Address #
821 # Board CPU # 825 # Board CPU #
822 ######################################################################### 826 #########################################################################
823 827
824 Mike Frysinger <vapier@gentoo.org> 828 Mike Frysinger <vapier@gentoo.org>
825 Blackfin Team <u-boot-devel@blackfin.uclinux.org> 829 Blackfin Team <u-boot-devel@blackfin.uclinux.org>
826 830
827 BF533-EZKIT BF533 831 BF533-EZKIT BF533
828 BF533-STAMP BF533 832 BF533-STAMP BF533
829 BF537-STAMP BF537 833 BF537-STAMP BF537
830 BF561-EZKIT BF561 834 BF561-EZKIT BF561
831 835
832 ######################################################################### 836 #########################################################################
833 # End of MAINTAINERS list # 837 # End of MAINTAINERS list #
834 ######################################################################### 838 #########################################################################
835 839
1 #!/bin/sh 1 #!/bin/sh
2 2
3 # Determine number of CPU cores if no default was set 3 # Determine number of CPU cores if no default was set
4 : ${BUILD_NCPUS:="`getconf _NPROCESSORS_ONLN`"} 4 : ${BUILD_NCPUS:="`getconf _NPROCESSORS_ONLN`"}
5 5
6 if [ "$BUILD_NCPUS" -gt 1 ] 6 if [ "$BUILD_NCPUS" -gt 1 ]
7 then 7 then
8 JOBS=-j`expr "$BUILD_NCPUS" + 1` 8 JOBS=-j`expr "$BUILD_NCPUS" + 1`
9 else 9 else
10 JOBS="" 10 JOBS=""
11 fi 11 fi
12 12
13 13
14 if [ "${CROSS_COMPILE}" ] ; then 14 if [ "${CROSS_COMPILE}" ] ; then
15 MAKE="make CROSS_COMPILE=${CROSS_COMPILE}" 15 MAKE="make CROSS_COMPILE=${CROSS_COMPILE}"
16 else 16 else
17 MAKE=make 17 MAKE=make
18 fi 18 fi
19 19
20 if [ "${MAKEALL_LOGDIR}" ] ; then 20 if [ "${MAKEALL_LOGDIR}" ] ; then
21 LOG_DIR=${MAKEALL_LOGDIR} 21 LOG_DIR=${MAKEALL_LOGDIR}
22 else 22 else
23 LOG_DIR="LOG" 23 LOG_DIR="LOG"
24 fi 24 fi
25 25
26 if [ ! "${BUILD_DIR}" ] ; then 26 if [ ! "${BUILD_DIR}" ] ; then
27 BUILD_DIR="." 27 BUILD_DIR="."
28 fi 28 fi
29 29
30 [ -d ${LOG_DIR} ] || mkdir ${LOG_DIR} || exit 1 30 [ -d ${LOG_DIR} ] || mkdir ${LOG_DIR} || exit 1
31 31
32 LIST="" 32 LIST=""
33 33
34 ######################################################################### 34 #########################################################################
35 ## MPC5xx Systems 35 ## MPC5xx Systems
36 ######################################################################### 36 #########################################################################
37 37
38 LIST_5xx=" \ 38 LIST_5xx=" \
39 cmi_mpc5xx \ 39 cmi_mpc5xx \
40 " 40 "
41 41
42 ######################################################################### 42 #########################################################################
43 ## MPC5xxx Systems 43 ## MPC5xxx Systems
44 ######################################################################### 44 #########################################################################
45 45
46 LIST_5xxx=" \ 46 LIST_5xxx=" \
47 BC3450 \ 47 BC3450 \
48 cm5200 \ 48 cm5200 \
49 cpci5200 \ 49 cpci5200 \
50 EVAL5200 \ 50 EVAL5200 \
51 fo300 \ 51 fo300 \
52 icecube_5100 \ 52 icecube_5100 \
53 icecube_5200 \ 53 icecube_5200 \
54 inka4x0 \ 54 inka4x0 \
55 lite5200b \ 55 lite5200b \
56 mcc200 \ 56 mcc200 \
57 mecp5200 \ 57 mecp5200 \
58 motionpro \ 58 motionpro \
59 munices \ 59 munices \
60 MVBC_P \ 60 MVBC_P \
61 o2dnt \ 61 o2dnt \
62 pf5200 \ 62 pf5200 \
63 PM520 \ 63 PM520 \
64 TB5200 \ 64 TB5200 \
65 Total5100 \ 65 Total5100 \
66 Total5200 \ 66 Total5200 \
67 Total5200_Rev2 \ 67 Total5200_Rev2 \
68 TQM5200 \ 68 TQM5200 \
69 TQM5200_B \ 69 TQM5200_B \
70 TQM5200S \ 70 TQM5200S \
71 v38b \ 71 v38b \
72 " 72 "
73 73
74 ######################################################################### 74 #########################################################################
75 ## MPC512x Systems 75 ## MPC512x Systems
76 ######################################################################### 76 #########################################################################
77 77
78 LIST_512x=" \ 78 LIST_512x=" \
79 ads5121 \ 79 ads5121 \
80 " 80 "
81 81
82 ######################################################################### 82 #########################################################################
83 ## MPC8xx Systems 83 ## MPC8xx Systems
84 ######################################################################### 84 #########################################################################
85 LIST_8xx=" \ 85 LIST_8xx=" \
86 Adder87x \ 86 Adder87x \
87 AdderII \ 87 AdderII \
88 ADS860 \ 88 ADS860 \
89 AMX860 \ 89 AMX860 \
90 c2mon \ 90 c2mon \
91 CCM \ 91 CCM \
92 cogent_mpc8xx \ 92 cogent_mpc8xx \
93 ELPT860 \ 93 ELPT860 \
94 EP88x \ 94 EP88x \
95 ESTEEM192E \ 95 ESTEEM192E \
96 ETX094 \ 96 ETX094 \
97 FADS823 \ 97 FADS823 \
98 FADS850SAR \ 98 FADS850SAR \
99 FADS860T \ 99 FADS860T \
100 FLAGADM \ 100 FLAGADM \
101 FPS850L \ 101 FPS850L \
102 GEN860T \ 102 GEN860T \
103 GEN860T_SC \ 103 GEN860T_SC \
104 GENIETV \ 104 GENIETV \
105 GTH \ 105 GTH \
106 hermes \ 106 hermes \
107 IAD210 \ 107 IAD210 \
108 ICU862_100MHz \ 108 ICU862_100MHz \
109 IP860 \ 109 IP860 \
110 IVML24 \ 110 IVML24 \
111 IVML24_128 \ 111 IVML24_128 \
112 IVML24_256 \ 112 IVML24_256 \
113 IVMS8 \ 113 IVMS8 \
114 IVMS8_128 \ 114 IVMS8_128 \
115 IVMS8_256 \ 115 IVMS8_256 \
116 KUP4K \ 116 KUP4K \
117 KUP4X \ 117 KUP4X \
118 LANTEC \ 118 LANTEC \
119 lwmon \ 119 lwmon \
120 MBX \ 120 MBX \
121 MBX860T \ 121 MBX860T \
122 mgsuvd \ 122 mgsuvd \
123 MHPC \ 123 MHPC \
124 MPC86xADS \ 124 MPC86xADS \
125 MPC885ADS \ 125 MPC885ADS \
126 NETPHONE \ 126 NETPHONE \
127 NETTA \ 127 NETTA \
128 NETTA2 \ 128 NETTA2 \
129 NETTA_ISDN \ 129 NETTA_ISDN \
130 NETVIA \ 130 NETVIA \
131 NETVIA_V2 \ 131 NETVIA_V2 \
132 NX823 \ 132 NX823 \
133 pcu_e \ 133 pcu_e \
134 QS823 \ 134 QS823 \
135 QS850 \ 135 QS850 \
136 QS860T \ 136 QS860T \
137 quantum \ 137 quantum \
138 R360MPI \ 138 R360MPI \
139 RBC823 \ 139 RBC823 \
140 rmu \ 140 rmu \
141 RPXClassic \ 141 RPXClassic \
142 RPXlite \ 142 RPXlite \
143 RPXlite_DW \ 143 RPXlite_DW \
144 RRvision \ 144 RRvision \
145 SM850 \ 145 SM850 \
146 spc1920 \ 146 spc1920 \
147 SPD823TS \ 147 SPD823TS \
148 svm_sc8xx \ 148 svm_sc8xx \
149 SXNI855T \ 149 SXNI855T \
150 TK885D \ 150 TK885D \
151 TOP860 \ 151 TOP860 \
152 TQM823L \ 152 TQM823L \
153 TQM823L_LCD \ 153 TQM823L_LCD \
154 TQM850L \ 154 TQM850L \
155 TQM855L \ 155 TQM855L \
156 TQM860L \ 156 TQM860L \
157 TQM885D \ 157 TQM885D \
158 uc100 \ 158 uc100 \
159 v37 \ 159 v37 \
160 " 160 "
161 161
162 ######################################################################### 162 #########################################################################
163 ## PPC4xx Systems 163 ## PPC4xx Systems
164 ######################################################################### 164 #########################################################################
165 165
166 LIST_4xx=" \ 166 LIST_4xx=" \
167 acadia \ 167 acadia \
168 acadia_nand \ 168 acadia_nand \
169 ADCIOP \ 169 ADCIOP \
170 alpr \ 170 alpr \
171 AP1000 \ 171 AP1000 \
172 AR405 \ 172 AR405 \
173 arches \ 173 arches \
174 ASH405 \ 174 ASH405 \
175 bamboo \ 175 bamboo \
176 bamboo_nand \ 176 bamboo_nand \
177 bubinga \ 177 bubinga \
178 CANBT \ 178 CANBT \
179 canyonlands \ 179 canyonlands \
180 canyonlands_nand \ 180 canyonlands_nand \
181 CMS700 \ 181 CMS700 \
182 CPCI2DP \ 182 CPCI2DP \
183 CPCI405 \ 183 CPCI405 \
184 CPCI4052 \ 184 CPCI4052 \
185 CPCI405AB \ 185 CPCI405AB \
186 CPCI405DT \ 186 CPCI405DT \
187 CPCIISER4 \ 187 CPCIISER4 \
188 CRAYL1 \ 188 CRAYL1 \
189 csb272 \ 189 csb272 \
190 csb472 \ 190 csb472 \
191 DASA_SIM \ 191 DASA_SIM \
192 DP405 \ 192 DP405 \
193 DU405 \ 193 DU405 \
194 DU440 \ 194 DU440 \
195 ebony \ 195 ebony \
196 ERIC \ 196 ERIC \
197 EXBITGEN \ 197 EXBITGEN \
198 fx12mm \ 198 fx12mm \
199 G2000 \ 199 G2000 \
200 glacier \ 200 glacier \
201 haleakala \ 201 haleakala \
202 haleakala_nand \ 202 haleakala_nand \
203 hcu4 \ 203 hcu4 \
204 hcu5 \ 204 hcu5 \
205 HH405 \ 205 HH405 \
206 HUB405 \ 206 HUB405 \
207 JSE \ 207 JSE \
208 KAREF \ 208 KAREF \
209 katmai \ 209 katmai \
210 kilauea \ 210 kilauea \
211 kilauea_nand \ 211 kilauea_nand \
212 korat \ 212 korat \
213 luan \ 213 luan \
214 lwmon5 \ 214 lwmon5 \
215 makalu \ 215 makalu \
216 mcu25 \ 216 mcu25 \
217 METROBOX \ 217 METROBOX \
218 MIP405 \ 218 MIP405 \
219 MIP405T \ 219 MIP405T \
220 ML2 \ 220 ML2 \
221 ml300 \ 221 ml300 \
222 ml507 \ 222 ml507 \
223 ml507_flash \ 223 ml507_flash \
224 neo \ 224 neo \
225 ocotea \ 225 ocotea \
226 OCRTC \ 226 OCRTC \
227 ORSG \ 227 ORSG \
228 p3p440 \ 228 p3p440 \
229 PCI405 \ 229 PCI405 \
230 pcs440ep \ 230 pcs440ep \
231 PIP405 \ 231 PIP405 \
232 PLU405 \ 232 PLU405 \
233 PMC405 \ 233 PMC405 \
234 PMC440 \ 234 PMC440 \
235 PPChameleonEVB \ 235 PPChameleonEVB \
236 quad100hd \ 236 quad100hd \
237 rainier \ 237 rainier \
238 redwood \ 238 redwood \
239 sbc405 \ 239 sbc405 \
240 sc3 \ 240 sc3 \
241 sequoia \ 241 sequoia \
242 sequoia_nand \ 242 sequoia_nand \
243 taihu \ 243 taihu \
244 taishan \ 244 taishan \
245 v5fx30teval \ 245 v5fx30teval \
246 v5fx30teval_flash \ 246 v5fx30teval_flash \
247 VOH405 \ 247 VOH405 \
248 VOM405 \ 248 VOM405 \
249 W7OLMC \ 249 W7OLMC \
250 W7OLMG \ 250 W7OLMG \
251 walnut \ 251 walnut \
252 WUH405 \ 252 WUH405 \
253 xilinx-ppc440-generic \ 253 xilinx-ppc440-generic \
254 xilinx-ppc440-generic_flash \ 254 xilinx-ppc440-generic_flash \
255 XPEDITE1K \ 255 XPEDITE1K \
256 yellowstone \ 256 yellowstone \
257 yosemite \ 257 yosemite \
258 yucca \ 258 yucca \
259 zeus \ 259 zeus \
260 " 260 "
261 261
262 ######################################################################### 262 #########################################################################
263 ## MPC8220 Systems 263 ## MPC8220 Systems
264 ######################################################################### 264 #########################################################################
265 265
266 LIST_8220=" \ 266 LIST_8220=" \
267 Alaska8220 \ 267 Alaska8220 \
268 Yukon8220 \ 268 Yukon8220 \
269 " 269 "
270 270
271 ######################################################################### 271 #########################################################################
272 ## MPC824x Systems 272 ## MPC824x Systems
273 ######################################################################### 273 #########################################################################
274 274
275 LIST_824x=" \ 275 LIST_824x=" \
276 A3000 \ 276 A3000 \
277 barco \ 277 barco \
278 BMW \ 278 BMW \
279 CPC45 \ 279 CPC45 \
280 CU824 \ 280 CU824 \
281 debris \ 281 debris \
282 eXalion \ 282 eXalion \
283 HIDDEN_DRAGON \ 283 HIDDEN_DRAGON \
284 linkstation_HGLAN \ 284 linkstation_HGLAN \
285 MOUSSE \ 285 MOUSSE \
286 MUSENKI \ 286 MUSENKI \
287 MVBLUE \ 287 MVBLUE \
288 OXC \ 288 OXC \
289 PN62 \ 289 PN62 \
290 Sandpoint8240 \ 290 Sandpoint8240 \
291 Sandpoint8245 \ 291 Sandpoint8245 \
292 sbc8240 \ 292 sbc8240 \
293 SL8245 \ 293 SL8245 \
294 utx8245 \ 294 utx8245 \
295 " 295 "
296 296
297 ######################################################################### 297 #########################################################################
298 ## MPC8260 Systems (includes 8250, 8255 etc.) 298 ## MPC8260 Systems (includes 8250, 8255 etc.)
299 ######################################################################### 299 #########################################################################
300 300
301 LIST_8260=" \ 301 LIST_8260=" \
302 atc \ 302 atc \
303 cogent_mpc8260 \ 303 cogent_mpc8260 \
304 CPU86 \ 304 CPU86 \
305 CPU87 \ 305 CPU87 \
306 ep8248 \ 306 ep8248 \
307 ep8260 \ 307 ep8260 \
308 ep82xxm \ 308 ep82xxm \
309 gw8260 \ 309 gw8260 \
310 hymod \ 310 hymod \
311 IPHASE4539 \ 311 IPHASE4539 \
312 ISPAN \ 312 ISPAN \
313 mgcoge \ 313 mgcoge \
314 MPC8260ADS \ 314 MPC8260ADS \
315 MPC8266ADS \ 315 MPC8266ADS \
316 MPC8272ADS \ 316 MPC8272ADS \
317 PM826 \ 317 PM826 \
318 PM828 \ 318 PM828 \
319 ppmc8260 \ 319 ppmc8260 \
320 Rattler8248 \ 320 Rattler8248 \
321 RPXsuper \ 321 RPXsuper \
322 rsdproto \ 322 rsdproto \
323 sacsng \ 323 sacsng \
324 sbc8260 \ 324 sbc8260 \
325 SCM \ 325 SCM \
326 TQM8260_AC \ 326 TQM8260_AC \
327 TQM8260_AD \ 327 TQM8260_AD \
328 TQM8260_AE \ 328 TQM8260_AE \
329 TQM8272 \ 329 TQM8272 \
330 ZPC1900 \ 330 ZPC1900 \
331 " 331 "
332 332
333 ######################################################################### 333 #########################################################################
334 ## MPC83xx Systems (includes 8349, etc.) 334 ## MPC83xx Systems (includes 8349, etc.)
335 ######################################################################### 335 #########################################################################
336 336
337 LIST_83xx=" \ 337 LIST_83xx=" \
338 kmeter1 \ 338 kmeter1 \
339 MPC8313ERDB_33 \ 339 MPC8313ERDB_33 \
340 MPC8313ERDB_NAND_66 \ 340 MPC8313ERDB_NAND_66 \
341 MPC8315ERDB \ 341 MPC8315ERDB \
342 MPC8323ERDB \ 342 MPC8323ERDB \
343 MPC832XEMDS \ 343 MPC832XEMDS \
344 MPC832XEMDS_ATM \ 344 MPC832XEMDS_ATM \
345 MPC8349EMDS \ 345 MPC8349EMDS \
346 MPC8349ITX \ 346 MPC8349ITX \
347 MPC8349ITXGP \ 347 MPC8349ITXGP \
348 MPC8360EMDS \ 348 MPC8360EMDS \
349 MPC8360EMDS_ATM \ 349 MPC8360EMDS_ATM \
350 MPC8360ERDK_33 \ 350 MPC8360ERDK_33 \
351 MPC8360ERDK_66 \ 351 MPC8360ERDK_66 \
352 MPC837XEMDS \ 352 MPC837XEMDS \
353 MPC837XERDB \ 353 MPC837XERDB \
354 MVBLM7 \ 354 MVBLM7 \
355 sbc8349 \ 355 sbc8349 \
356 SIMPC8313_LP \ 356 SIMPC8313_LP \
357 TQM834x \ 357 TQM834x \
358 " 358 "
359 359
360 360
361 ######################################################################### 361 #########################################################################
362 ## MPC85xx Systems (includes 8540, 8560 etc.) 362 ## MPC85xx Systems (includes 8540, 8560 etc.)
363 ######################################################################### 363 #########################################################################
364 364
365 LIST_85xx=" \ 365 LIST_85xx=" \
366 ATUM8548 \ 366 ATUM8548 \
367 MPC8536DS \ 367 MPC8536DS \
368 MPC8540ADS \ 368 MPC8540ADS \
369 MPC8540EVAL \ 369 MPC8540EVAL \
370 MPC8541CDS \ 370 MPC8541CDS \
371 MPC8544DS \ 371 MPC8544DS \
372 MPC8548CDS \ 372 MPC8548CDS \
373 MPC8555CDS \ 373 MPC8555CDS \
374 MPC8560ADS \ 374 MPC8560ADS \
375 MPC8568MDS \ 375 MPC8568MDS \
376 MPC8572DS \ 376 MPC8572DS \
377 MPC8572DS_36BIT \ 377 MPC8572DS_36BIT \
378 PM854 \ 378 PM854 \
379 PM856 \ 379 PM856 \
380 sbc8540 \ 380 sbc8540 \
381 sbc8548 \ 381 sbc8548 \
382 sbc8560 \ 382 sbc8560 \
383 socrates \ 383 socrates \
384 stxgp3 \ 384 stxgp3 \
385 stxssa \ 385 stxssa \
386 TQM8540 \ 386 TQM8540 \
387 TQM8541 \ 387 TQM8541 \
388 TQM8548 \ 388 TQM8548 \
389 TQM8555 \ 389 TQM8555 \
390 TQM8560 \ 390 TQM8560 \
391 XPEDITE5200 \ 391 XPEDITE5200 \
392 XPEDITE5370 \ 392 XPEDITE5370 \
393 " 393 "
394 394
395 ######################################################################### 395 #########################################################################
396 ## MPC86xx Systems 396 ## MPC86xx Systems
397 ######################################################################### 397 #########################################################################
398 398
399 LIST_86xx=" \ 399 LIST_86xx=" \
400 MPC8610HPCD \ 400 MPC8610HPCD \
401 MPC8641HPCN \ 401 MPC8641HPCN \
402 sbc8641d \ 402 sbc8641d \
403 " 403 "
404 404
405 ######################################################################### 405 #########################################################################
406 ## 74xx/7xx Systems 406 ## 74xx/7xx Systems
407 ######################################################################### 407 #########################################################################
408 408
409 LIST_74xx=" \ 409 LIST_74xx=" \
410 DB64360 \ 410 DB64360 \
411 DB64460 \ 411 DB64460 \
412 EVB64260 \ 412 EVB64260 \
413 mpc7448hpc2 \ 413 mpc7448hpc2 \
414 P3G4 \ 414 P3G4 \
415 p3m7448 \ 415 p3m7448 \
416 PCIPPC2 \ 416 PCIPPC2 \
417 PCIPPC6 \ 417 PCIPPC6 \
418 ZUMA \ 418 ZUMA \
419 " 419 "
420 420
421 LIST_7xx=" \ 421 LIST_7xx=" \
422 BAB7xx \ 422 BAB7xx \
423 CPCI750 \ 423 CPCI750 \
424 ELPPC \ 424 ELPPC \
425 p3m750 \ 425 p3m750 \
426 ppmc7xx \ 426 ppmc7xx \
427 " 427 "
428 428
429 ######################################################################### 429 #########################################################################
430 ## PowerPC groups 430 ## PowerPC groups
431 ######################################################################### 431 #########################################################################
432 432
433 LIST_TSEC=" \ 433 LIST_TSEC=" \
434 ${LIST_83xx} \ 434 ${LIST_83xx} \
435 ${LIST_85xx} \ 435 ${LIST_85xx} \
436 ${LIST_86xx} \ 436 ${LIST_86xx} \
437 " 437 "
438 438
439 LIST_ppc=" \ 439 LIST_ppc=" \
440 ${LIST_5xx} \ 440 ${LIST_5xx} \
441 ${LIST_512x} \ 441 ${LIST_512x} \
442 ${LIST_5xxx} \ 442 ${LIST_5xxx} \
443 ${LIST_8xx} \ 443 ${LIST_8xx} \
444 ${LIST_8220} \ 444 ${LIST_8220} \
445 ${LIST_824x} \ 445 ${LIST_824x} \
446 ${LIST_8260} \ 446 ${LIST_8260} \
447 ${LIST_83xx} \ 447 ${LIST_83xx} \
448 ${LIST_85xx} \ 448 ${LIST_85xx} \
449 ${LIST_86xx} \ 449 ${LIST_86xx} \
450 ${LIST_4xx} \ 450 ${LIST_4xx} \
451 ${LIST_74xx} \ 451 ${LIST_74xx} \
452 ${LIST_7xx} \ 452 ${LIST_7xx} \
453 " 453 "
454 454
455 ######################################################################### 455 #########################################################################
456 ## StrongARM Systems 456 ## StrongARM Systems
457 ######################################################################### 457 #########################################################################
458 458
459 LIST_SA=" \ 459 LIST_SA=" \
460 assabet \ 460 assabet \
461 dnp1110 \ 461 dnp1110 \
462 gcplus \ 462 gcplus \
463 lart \ 463 lart \
464 shannon \ 464 shannon \
465 " 465 "
466 466
467 ######################################################################### 467 #########################################################################
468 ## ARM7 Systems 468 ## ARM7 Systems
469 ######################################################################### 469 #########################################################################
470 470
471 LIST_ARM7=" \ 471 LIST_ARM7=" \
472 ap7 \ 472 ap7 \
473 ap720t \ 473 ap720t \
474 armadillo \ 474 armadillo \
475 B2 \ 475 B2 \
476 ep7312 \ 476 ep7312 \
477 evb4510 \ 477 evb4510 \
478 impa7 \ 478 impa7 \
479 integratorap \ 479 integratorap \
480 lpc2292sodimm \ 480 lpc2292sodimm \
481 modnet50 \ 481 modnet50 \
482 SMN42 \ 482 SMN42 \
483 " 483 "
484 484
485 ######################################################################### 485 #########################################################################
486 ## ARM9 Systems 486 ## ARM9 Systems
487 ######################################################################### 487 #########################################################################
488 488
489 LIST_ARM9=" \ 489 LIST_ARM9=" \
490 ap920t \ 490 ap920t \
491 ap922_XA10 \ 491 ap922_XA10 \
492 ap926ejs \ 492 ap926ejs \
493 ap946es \ 493 ap946es \
494 ap966 \ 494 ap966 \
495 cp920t \ 495 cp920t \
496 cp922_XA10 \ 496 cp922_XA10 \
497 cp926ejs \ 497 cp926ejs \
498 cp946es \ 498 cp946es \
499 cp966 \ 499 cp966 \
500 lpd7a400 \ 500 lpd7a400 \
501 mx1ads \ 501 mx1ads \
502 mx1fs2 \ 502 mx1fs2 \
503 netstar \ 503 netstar \
504 nmdk8815 \ 504 nmdk8815 \
505 omap1510inn \ 505 omap1510inn \
506 omap1610h2 \ 506 omap1610h2 \
507 omap1610inn \ 507 omap1610inn \
508 omap5912osk \ 508 omap5912osk \
509 omap730p2 \ 509 omap730p2 \
510 sbc2410x \ 510 sbc2410x \
511 scb9328 \ 511 scb9328 \
512 smdk2400 \ 512 smdk2400 \
513 smdk2410 \ 513 smdk2410 \
514 trab \ 514 trab \
515 VCMA9 \ 515 VCMA9 \
516 versatile \ 516 versatile \
517 versatileab \ 517 versatileab \
518 versatilepb \ 518 versatilepb \
519 voiceblue \ 519 voiceblue \
520 davinci_dvevm \ 520 davinci_dvevm \
521 davinci_schmoogie \ 521 davinci_schmoogie \
522 davinci_sffsdr \ 522 davinci_sffsdr \
523 davinci_sonata \ 523 davinci_sonata \
524 " 524 "
525 525
526 ######################################################################### 526 #########################################################################
527 ## ARM10 Systems 527 ## ARM10 Systems
528 ######################################################################### 528 #########################################################################
529 LIST_ARM10=" \ 529 LIST_ARM10=" \
530 integratorcp \ 530 integratorcp \
531 cp1026 \ 531 cp1026 \
532 " 532 "
533 533
534 ######################################################################### 534 #########################################################################
535 ## ARM11 Systems 535 ## ARM11 Systems
536 ######################################################################### 536 #########################################################################
537 LIST_ARM11=" \ 537 LIST_ARM11=" \
538 cp1136 \ 538 cp1136 \
539 omap2420h4 \ 539 omap2420h4 \
540 apollon \ 540 apollon \
541 imx31_litekit \ 541 imx31_litekit \
542 imx31_phycore \ 542 imx31_phycore \
543 mx31ads \ 543 mx31ads \
544 smdk6400 \ 544 smdk6400 \
545 " 545 "
546 546
547 ######################################################################### 547 #########################################################################
548 ## ARM Cortex-A8 Systems 548 ## ARM Cortex-A8 Systems
549 ######################################################################### 549 #########################################################################
550 LIST_ARM_CORTEX_A8=" \ 550 LIST_ARM_CORTEX_A8=" \
551 omap3_beagle \ 551 omap3_beagle \
552 omap3_overo \
552 " 553 "
553 554
554 ######################################################################### 555 #########################################################################
555 ## AT91 Systems 556 ## AT91 Systems
556 ######################################################################### 557 #########################################################################
557 558
558 LIST_at91=" \ 559 LIST_at91=" \
559 afeb9260 \ 560 afeb9260 \
560 at91cap9adk \ 561 at91cap9adk \
561 at91rm9200dk \ 562 at91rm9200dk \
562 at91sam9260ek \ 563 at91sam9260ek \
563 at91sam9261ek \ 564 at91sam9261ek \
564 at91sam9263ek \ 565 at91sam9263ek \
565 at91sam9rlek \ 566 at91sam9rlek \
566 cmc_pu2 \ 567 cmc_pu2 \
567 csb637 \ 568 csb637 \
568 kb9202 \ 569 kb9202 \
569 mp2usb \ 570 mp2usb \
570 m501sk \ 571 m501sk \
571 " 572 "
572 573
573 ######################################################################### 574 #########################################################################
574 ## Xscale Systems 575 ## Xscale Systems
575 ######################################################################### 576 #########################################################################
576 577
577 LIST_pxa=" \ 578 LIST_pxa=" \
578 cerf250 \ 579 cerf250 \
579 cradle \ 580 cradle \
580 csb226 \ 581 csb226 \
581 delta \ 582 delta \
582 innokom \ 583 innokom \
583 lubbock \ 584 lubbock \
584 pleb2 \ 585 pleb2 \
585 pxa255_idp \ 586 pxa255_idp \
586 wepep250 \ 587 wepep250 \
587 xaeniax \ 588 xaeniax \
588 xm250 \ 589 xm250 \
589 xsengine \ 590 xsengine \
590 zylonite \ 591 zylonite \
591 " 592 "
592 593
593 LIST_ixp=" \ 594 LIST_ixp=" \
594 actux1 \ 595 actux1 \
595 actux2 \ 596 actux2 \
596 actux3 \ 597 actux3 \
597 actux4 \ 598 actux4 \
598 ixdp425 \ 599 ixdp425 \
599 ixdpg425 \ 600 ixdpg425 \
600 pdnb3 \ 601 pdnb3 \
601 scpu \ 602 scpu \
602 " 603 "
603 604
604 ######################################################################### 605 #########################################################################
605 ## ARM groups 606 ## ARM groups
606 ######################################################################### 607 #########################################################################
607 608
608 LIST_arm=" \ 609 LIST_arm=" \
609 ${LIST_SA} \ 610 ${LIST_SA} \
610 ${LIST_ARM7} \ 611 ${LIST_ARM7} \
611 ${LIST_ARM9} \ 612 ${LIST_ARM9} \
612 ${LIST_ARM10} \ 613 ${LIST_ARM10} \
613 ${LIST_ARM11} \ 614 ${LIST_ARM11} \
614 ${LIST_ARM_CORTEX_A8} \ 615 ${LIST_ARM_CORTEX_A8} \
615 ${LIST_at91} \ 616 ${LIST_at91} \
616 ${LIST_pxa} \ 617 ${LIST_pxa} \
617 ${LIST_ixp} \ 618 ${LIST_ixp} \
618 " 619 "
619 620
620 ######################################################################### 621 #########################################################################
621 ## MIPS Systems (default = big endian) 622 ## MIPS Systems (default = big endian)
622 ######################################################################### 623 #########################################################################
623 624
624 LIST_mips4kc=" \ 625 LIST_mips4kc=" \
625 incaip \ 626 incaip \
626 qemu_mips \ 627 qemu_mips \
627 " 628 "
628 629
629 LIST_mips5kc=" \ 630 LIST_mips5kc=" \
630 purple \ 631 purple \
631 " 632 "
632 633
633 LIST_au1xx0=" \ 634 LIST_au1xx0=" \
634 dbau1000 \ 635 dbau1000 \
635 dbau1100 \ 636 dbau1100 \
636 dbau1500 \ 637 dbau1500 \
637 dbau1550 \ 638 dbau1550 \
638 dbau1550_el \ 639 dbau1550_el \
639 gth2 \ 640 gth2 \
640 " 641 "
641 642
642 LIST_mips=" \ 643 LIST_mips=" \
643 ${LIST_mips4kc} \ 644 ${LIST_mips4kc} \
644 ${LIST_mips5kc} \ 645 ${LIST_mips5kc} \
645 ${LIST_au1xx0} \ 646 ${LIST_au1xx0} \
646 " 647 "
647 648
648 ######################################################################### 649 #########################################################################
649 ## MIPS Systems (little endian) 650 ## MIPS Systems (little endian)
650 ######################################################################### 651 #########################################################################
651 652
652 LIST_mips4kc_el="" 653 LIST_mips4kc_el=""
653 654
654 LIST_mips5kc_el="" 655 LIST_mips5kc_el=""
655 656
656 LIST_au1xx0_el=" \ 657 LIST_au1xx0_el=" \
657 dbau1550_el \ 658 dbau1550_el \
658 pb1000 \ 659 pb1000 \
659 " 660 "
660 661
661 LIST_mips_el=" \ 662 LIST_mips_el=" \
662 ${LIST_mips4kc_el} \ 663 ${LIST_mips4kc_el} \
663 ${LIST_mips5kc_el} \ 664 ${LIST_mips5kc_el} \
664 ${LIST_au1xx0_el} \ 665 ${LIST_au1xx0_el} \
665 " 666 "
666 667
667 ######################################################################### 668 #########################################################################
668 ## i386 Systems 669 ## i386 Systems
669 ######################################################################### 670 #########################################################################
670 671
671 LIST_I486=" \ 672 LIST_I486=" \
672 sc520_cdp \ 673 sc520_cdp \
673 sc520_eNET \ 674 sc520_eNET \
674 sc520_spunk \ 675 sc520_spunk \
675 sc520_spunk_rel \ 676 sc520_spunk_rel \
676 " 677 "
677 678
678 LIST_x86=" \ 679 LIST_x86=" \
679 ${LIST_I486} \ 680 ${LIST_I486} \
680 " 681 "
681 682
682 ######################################################################### 683 #########################################################################
683 ## NIOS Systems 684 ## NIOS Systems
684 ######################################################################### 685 #########################################################################
685 686
686 LIST_nios=" \ 687 LIST_nios=" \
687 ADNPESC1 \ 688 ADNPESC1 \
688 ADNPESC1_base_32 \ 689 ADNPESC1_base_32 \
689 ADNPESC1_DNPEVA2_base_32\ 690 ADNPESC1_DNPEVA2_base_32\
690 DK1C20 \ 691 DK1C20 \
691 DK1C20_standard_32 \ 692 DK1C20_standard_32 \
692 DK1S10 \ 693 DK1S10 \
693 DK1S10_standard_32 \ 694 DK1S10_standard_32 \
694 DK1S10_mtx_ldk_20 \ 695 DK1S10_mtx_ldk_20 \
695 " 696 "
696 697
697 ######################################################################### 698 #########################################################################
698 ## Nios-II Systems 699 ## Nios-II Systems
699 ######################################################################### 700 #########################################################################
700 701
701 LIST_nios2=" \ 702 LIST_nios2=" \
702 EP1C20 \ 703 EP1C20 \
703 EP1S10 \ 704 EP1S10 \
704 EP1S40 \ 705 EP1S40 \
705 PCI5441 \ 706 PCI5441 \
706 PK1C20 \ 707 PK1C20 \
707 " 708 "
708 709
709 ######################################################################### 710 #########################################################################
710 ## MicroBlaze Systems 711 ## MicroBlaze Systems
711 ######################################################################### 712 #########################################################################
712 713
713 LIST_microblaze=" \ 714 LIST_microblaze=" \
714 microblaze-generic \ 715 microblaze-generic \
715 suzaku \ 716 suzaku \
716 " 717 "
717 718
718 ######################################################################### 719 #########################################################################
719 ## ColdFire Systems 720 ## ColdFire Systems
720 ######################################################################### 721 #########################################################################
721 722
722 LIST_coldfire=" \ 723 LIST_coldfire=" \
723 cobra5272 \ 724 cobra5272 \
724 EB+MCF-EV123 \ 725 EB+MCF-EV123 \
725 EB+MCF-EV123_internal \ 726 EB+MCF-EV123_internal \
726 idmr \ 727 idmr \
727 M52277EVB \ 728 M52277EVB \
728 M5235EVB \ 729 M5235EVB \
729 M5249EVB \ 730 M5249EVB \
730 M5253DEMO \ 731 M5253DEMO \
731 M5253EVBE \ 732 M5253EVBE \
732 M5271EVB \ 733 M5271EVB \
733 M5272C3 \ 734 M5272C3 \
734 M5275EVB \ 735 M5275EVB \
735 M5282EVB \ 736 M5282EVB \
736 M53017EVB \ 737 M53017EVB \
737 M5329AFEE \ 738 M5329AFEE \
738 M5373EVB \ 739 M5373EVB \
739 M54451EVB \ 740 M54451EVB \
740 M54455EVB \ 741 M54455EVB \
741 M5475AFE \ 742 M5475AFE \
742 M5485AFE \ 743 M5485AFE \
743 TASREG \ 744 TASREG \
744 " 745 "
745 746
746 ######################################################################### 747 #########################################################################
747 ## AVR32 Systems 748 ## AVR32 Systems
748 ######################################################################### 749 #########################################################################
749 750
750 LIST_avr32=" \ 751 LIST_avr32=" \
751 atstk1002 \ 752 atstk1002 \
752 atstk1003 \ 753 atstk1003 \
753 atstk1004 \ 754 atstk1004 \
754 atstk1006 \ 755 atstk1006 \
755 atngw100 \ 756 atngw100 \
756 favr-32-ezkit \ 757 favr-32-ezkit \
757 hammerhead \ 758 hammerhead \
758 mimc200 \ 759 mimc200 \
759 " 760 "
760 761
761 ######################################################################### 762 #########################################################################
762 ## Blackfin Systems 763 ## Blackfin Systems
763 ######################################################################### 764 #########################################################################
764 765
765 LIST_blackfin=" \ 766 LIST_blackfin=" \
766 bf533-ezkit \ 767 bf533-ezkit \
767 bf533-stamp \ 768 bf533-stamp \
768 bf537-stamp \ 769 bf537-stamp \
769 bf561-ezkit \ 770 bf561-ezkit \
770 " 771 "
771 772
772 ######################################################################### 773 #########################################################################
773 ## SH Systems 774 ## SH Systems
774 ######################################################################### 775 #########################################################################
775 776
776 LIST_sh2=" \ 777 LIST_sh2=" \
777 rsk7203 \ 778 rsk7203 \
778 " 779 "
779 LIST_sh3=" \ 780 LIST_sh3=" \
780 mpr2 \ 781 mpr2 \
781 ms7720se \ 782 ms7720se \
782 " 783 "
783 784
784 LIST_sh4=" \ 785 LIST_sh4=" \
785 ms7750se \ 786 ms7750se \
786 ms7722se \ 787 ms7722se \
787 MigoR \ 788 MigoR \
788 r7780mp \ 789 r7780mp \
789 r2dplus \ 790 r2dplus \
790 sh7763rdp \ 791 sh7763rdp \
791 sh7785lcr \ 792 sh7785lcr \
792 ap325rxa \ 793 ap325rxa \
793 " 794 "
794 795
795 LIST_sh=" \ 796 LIST_sh=" \
796 ${LIST_sh2} \ 797 ${LIST_sh2} \
797 ${LIST_sh3} \ 798 ${LIST_sh3} \
798 ${LIST_sh4} \ 799 ${LIST_sh4} \
799 " 800 "
800 801
801 ######################################################################### 802 #########################################################################
802 ## SPARC Systems 803 ## SPARC Systems
803 ######################################################################### 804 #########################################################################
804 805
805 LIST_sparc="gr_xc3s_1500 gr_cpci_ax2000 gr_ep2s60 grsim grsim_leon2" 806 LIST_sparc="gr_xc3s_1500 gr_cpci_ax2000 gr_ep2s60 grsim grsim_leon2"
806 807
807 #----------------------------------------------------------------------- 808 #-----------------------------------------------------------------------
808 809
809 #----- for now, just run PPC by default ----- 810 #----- for now, just run PPC by default -----
810 [ $# = 0 ] && set $LIST_ppc 811 [ $# = 0 ] && set $LIST_ppc
811 812
812 #----------------------------------------------------------------------- 813 #-----------------------------------------------------------------------
813 814
814 build_target() { 815 build_target() {
815 target=$1 816 target=$1
816 817
817 ${MAKE} distclean >/dev/null 818 ${MAKE} distclean >/dev/null
818 ${MAKE} ${target}_config 819 ${MAKE} ${target}_config
819 820
820 ${MAKE} ${JOBS} all 2>&1 >${LOG_DIR}/$target.MAKELOG \ 821 ${MAKE} ${JOBS} all 2>&1 >${LOG_DIR}/$target.MAKELOG \
821 | tee ${LOG_DIR}/$target.ERR 822 | tee ${LOG_DIR}/$target.ERR
822 823
823 ${CROSS_COMPILE}size ${BUILD_DIR}/u-boot \ 824 ${CROSS_COMPILE}size ${BUILD_DIR}/u-boot \
824 | tee -a ${LOG_DIR}/$target.MAKELOG 825 | tee -a ${LOG_DIR}/$target.MAKELOG
825 } 826 }
826 827
827 #----------------------------------------------------------------------- 828 #-----------------------------------------------------------------------
828 829
829 830
830 for arg in $@ 831 for arg in $@
831 do 832 do
832 case "$arg" in 833 case "$arg" in
833 arm|SA|ARM7|ARM9|ARM10|ARM11|ARM_CORTEX_A8|at91|ixp|pxa \ 834 arm|SA|ARM7|ARM9|ARM10|ARM11|ARM_CORTEX_A8|at91|ixp|pxa \
834 |avr32 \ 835 |avr32 \
835 |blackfin \ 836 |blackfin \
836 |coldfire \ 837 |coldfire \
837 |microblaze \ 838 |microblaze \
838 |mips|mips_el \ 839 |mips|mips_el \
839 |nios|nios2 \ 840 |nios|nios2 \
840 |ppc|5xx|5xxx|512x|8xx|8220|824x|8260|83xx|85xx|86xx|4xx|7xx|74xx|TSEC \ 841 |ppc|5xx|5xxx|512x|8xx|8220|824x|8260|83xx|85xx|86xx|4xx|7xx|74xx|TSEC \
841 |sh|sh2|sh3|sh4 \ 842 |sh|sh2|sh3|sh4 \
842 |sparc \ 843 |sparc \
843 |x86|I486 \ 844 |x86|I486 \
844 ) 845 )
845 for target in `eval echo '$LIST_'${arg}` 846 for target in `eval echo '$LIST_'${arg}`
846 do 847 do
847 build_target ${target} 848 build_target ${target}
848 done 849 done
849 ;; 850 ;;
850 *) build_target ${arg} 851 *) build_target ${arg}
851 ;; 852 ;;
852 esac 853 esac
853 done 854 done
854 855
1 # 1 #
2 # (C) Copyright 2000-2008 2 # (C) Copyright 2000-2008
3 # Wolfgang Denk, DENX Software Engineering, wd@denx.de. 3 # Wolfgang Denk, DENX Software Engineering, wd@denx.de.
4 # 4 #
5 # See file CREDITS for list of people who contributed to this 5 # See file CREDITS for list of people who contributed to this
6 # project. 6 # project.
7 # 7 #
8 # This program is free software; you can redistribute it and/or 8 # This program is free software; you can redistribute it and/or
9 # modify it under the terms of the GNU General Public License as 9 # modify it under the terms of the GNU General Public License as
10 # published by the Free Software Foundatio; either version 2 of 10 # published by the Free Software Foundatio; either version 2 of
11 # the License, or (at your option) any later version. 11 # the License, or (at your option) any later version.
12 # 12 #
13 # This program is distributed in the hope that it will be useful, 13 # This program is distributed in the hope that it will be useful,
14 # but WITHOUT ANY WARRANTY; without even the implied warranty of 14 # but WITHOUT ANY WARRANTY; without even the implied warranty of
15 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 15 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 # GNU General Public License for more details. 16 # GNU General Public License for more details.
17 # 17 #
18 # You should have received a copy of the GNU General Public License 18 # You should have received a copy of the GNU General Public License
19 # along with this program; if not, write to the Free Software 19 # along with this program; if not, write to the Free Software
20 # Foundation, Inc., 59 Temple Place, Suite 330, Boston, 20 # Foundation, Inc., 59 Temple Place, Suite 330, Boston,
21 # MA 02111-1307 USA 21 # MA 02111-1307 USA
22 # 22 #
23 23
24 VERSION = 2009 24 VERSION = 2009
25 PATCHLEVEL = 01 25 PATCHLEVEL = 01
26 SUBLEVEL = 26 SUBLEVEL =
27 EXTRAVERSION = 27 EXTRAVERSION =
28 ifneq "$(SUBLEVEL)" "" 28 ifneq "$(SUBLEVEL)" ""
29 U_BOOT_VERSION = $(VERSION).$(PATCHLEVEL).$(SUBLEVEL)$(EXTRAVERSION) 29 U_BOOT_VERSION = $(VERSION).$(PATCHLEVEL).$(SUBLEVEL)$(EXTRAVERSION)
30 else 30 else
31 U_BOOT_VERSION = $(VERSION).$(PATCHLEVEL)$(EXTRAVERSION) 31 U_BOOT_VERSION = $(VERSION).$(PATCHLEVEL)$(EXTRAVERSION)
32 endif 32 endif
33 TIMESTAMP_FILE = $(obj)include/timestamp_autogenerated.h 33 TIMESTAMP_FILE = $(obj)include/timestamp_autogenerated.h
34 VERSION_FILE = $(obj)include/version_autogenerated.h 34 VERSION_FILE = $(obj)include/version_autogenerated.h
35 35
36 HOSTARCH := $(shell uname -m | \ 36 HOSTARCH := $(shell uname -m | \
37 sed -e s/i.86/i386/ \ 37 sed -e s/i.86/i386/ \
38 -e s/sun4u/sparc64/ \ 38 -e s/sun4u/sparc64/ \
39 -e s/arm.*/arm/ \ 39 -e s/arm.*/arm/ \
40 -e s/sa110/arm/ \ 40 -e s/sa110/arm/ \
41 -e s/powerpc/ppc/ \ 41 -e s/powerpc/ppc/ \
42 -e s/ppc64/ppc/ \ 42 -e s/ppc64/ppc/ \
43 -e s/macppc/ppc/) 43 -e s/macppc/ppc/)
44 44
45 HOSTOS := $(shell uname -s | tr '[:upper:]' '[:lower:]' | \ 45 HOSTOS := $(shell uname -s | tr '[:upper:]' '[:lower:]' | \
46 sed -e 's/\(cygwin\).*/cygwin/') 46 sed -e 's/\(cygwin\).*/cygwin/')
47 47
48 # Set shell to bash if possible, otherwise fall back to sh 48 # Set shell to bash if possible, otherwise fall back to sh
49 SHELL := $(shell if [ -x "$$BASH" ]; then echo $$BASH; \ 49 SHELL := $(shell if [ -x "$$BASH" ]; then echo $$BASH; \
50 else if [ -x /bin/bash ]; then echo /bin/bash; \ 50 else if [ -x /bin/bash ]; then echo /bin/bash; \
51 else echo sh; fi; fi) 51 else echo sh; fi; fi)
52 52
53 export HOSTARCH HOSTOS SHELL 53 export HOSTARCH HOSTOS SHELL
54 54
55 # Deal with colliding definitions from tcsh etc. 55 # Deal with colliding definitions from tcsh etc.
56 VENDOR= 56 VENDOR=
57 57
58 ######################################################################### 58 #########################################################################
59 # Allow for silent builds 59 # Allow for silent builds
60 ifeq (,$(findstring s,$(MAKEFLAGS))) 60 ifeq (,$(findstring s,$(MAKEFLAGS)))
61 XECHO = echo 61 XECHO = echo
62 else 62 else
63 XECHO = : 63 XECHO = :
64 endif 64 endif
65 65
66 ######################################################################### 66 #########################################################################
67 # 67 #
68 # U-boot build supports producing a object files to the separate external 68 # U-boot build supports producing a object files to the separate external
69 # directory. Two use cases are supported: 69 # directory. Two use cases are supported:
70 # 70 #
71 # 1) Add O= to the make command line 71 # 1) Add O= to the make command line
72 # 'make O=/tmp/build all' 72 # 'make O=/tmp/build all'
73 # 73 #
74 # 2) Set environement variable BUILD_DIR to point to the desired location 74 # 2) Set environement variable BUILD_DIR to point to the desired location
75 # 'export BUILD_DIR=/tmp/build' 75 # 'export BUILD_DIR=/tmp/build'
76 # 'make' 76 # 'make'
77 # 77 #
78 # The second approach can also be used with a MAKEALL script 78 # The second approach can also be used with a MAKEALL script
79 # 'export BUILD_DIR=/tmp/build' 79 # 'export BUILD_DIR=/tmp/build'
80 # './MAKEALL' 80 # './MAKEALL'
81 # 81 #
82 # Command line 'O=' setting overrides BUILD_DIR environent variable. 82 # Command line 'O=' setting overrides BUILD_DIR environent variable.
83 # 83 #
84 # When none of the above methods is used the local build is performed and 84 # When none of the above methods is used the local build is performed and
85 # the object files are placed in the source directory. 85 # the object files are placed in the source directory.
86 # 86 #
87 87
88 ifdef O 88 ifdef O
89 ifeq ("$(origin O)", "command line") 89 ifeq ("$(origin O)", "command line")
90 BUILD_DIR := $(O) 90 BUILD_DIR := $(O)
91 endif 91 endif
92 endif 92 endif
93 93
94 ifneq ($(BUILD_DIR),) 94 ifneq ($(BUILD_DIR),)
95 saved-output := $(BUILD_DIR) 95 saved-output := $(BUILD_DIR)
96 96
97 # Attempt to create a output directory. 97 # Attempt to create a output directory.
98 $(shell [ -d ${BUILD_DIR} ] || mkdir -p ${BUILD_DIR}) 98 $(shell [ -d ${BUILD_DIR} ] || mkdir -p ${BUILD_DIR})
99 99
100 # Verify if it was successful. 100 # Verify if it was successful.
101 BUILD_DIR := $(shell cd $(BUILD_DIR) && /bin/pwd) 101 BUILD_DIR := $(shell cd $(BUILD_DIR) && /bin/pwd)
102 $(if $(BUILD_DIR),,$(error output directory "$(saved-output)" does not exist)) 102 $(if $(BUILD_DIR),,$(error output directory "$(saved-output)" does not exist))
103 endif # ifneq ($(BUILD_DIR),) 103 endif # ifneq ($(BUILD_DIR),)
104 104
105 OBJTREE := $(if $(BUILD_DIR),$(BUILD_DIR),$(CURDIR)) 105 OBJTREE := $(if $(BUILD_DIR),$(BUILD_DIR),$(CURDIR))
106 SRCTREE := $(CURDIR) 106 SRCTREE := $(CURDIR)
107 TOPDIR := $(SRCTREE) 107 TOPDIR := $(SRCTREE)
108 LNDIR := $(OBJTREE) 108 LNDIR := $(OBJTREE)
109 export TOPDIR SRCTREE OBJTREE 109 export TOPDIR SRCTREE OBJTREE
110 110
111 MKCONFIG := $(SRCTREE)/mkconfig 111 MKCONFIG := $(SRCTREE)/mkconfig
112 export MKCONFIG 112 export MKCONFIG
113 113
114 ifneq ($(OBJTREE),$(SRCTREE)) 114 ifneq ($(OBJTREE),$(SRCTREE))
115 REMOTE_BUILD := 1 115 REMOTE_BUILD := 1
116 export REMOTE_BUILD 116 export REMOTE_BUILD
117 endif 117 endif
118 118
119 # $(obj) and (src) are defined in config.mk but here in main Makefile 119 # $(obj) and (src) are defined in config.mk but here in main Makefile
120 # we also need them before config.mk is included which is the case for 120 # we also need them before config.mk is included which is the case for
121 # some targets like unconfig, clean, clobber, distclean, etc. 121 # some targets like unconfig, clean, clobber, distclean, etc.
122 ifneq ($(OBJTREE),$(SRCTREE)) 122 ifneq ($(OBJTREE),$(SRCTREE))
123 obj := $(OBJTREE)/ 123 obj := $(OBJTREE)/
124 src := $(SRCTREE)/ 124 src := $(SRCTREE)/
125 else 125 else
126 obj := 126 obj :=
127 src := 127 src :=
128 endif 128 endif
129 export obj src 129 export obj src
130 130
131 # Make sure CDPATH settings don't interfere 131 # Make sure CDPATH settings don't interfere
132 unexport CDPATH 132 unexport CDPATH
133 133
134 ######################################################################### 134 #########################################################################
135 135
136 ifeq ($(ARCH),powerpc) 136 ifeq ($(ARCH),powerpc)
137 ARCH = ppc 137 ARCH = ppc
138 endif 138 endif
139 139
140 ifeq ($(obj)include/config.mk,$(wildcard $(obj)include/config.mk)) 140 ifeq ($(obj)include/config.mk,$(wildcard $(obj)include/config.mk))
141 141
142 # load ARCH, BOARD, and CPU configuration 142 # load ARCH, BOARD, and CPU configuration
143 include $(obj)include/config.mk 143 include $(obj)include/config.mk
144 export ARCH CPU BOARD VENDOR SOC 144 export ARCH CPU BOARD VENDOR SOC
145 145
146 ifndef CROSS_COMPILE 146 ifndef CROSS_COMPILE
147 ifeq ($(HOSTARCH),$(ARCH)) 147 ifeq ($(HOSTARCH),$(ARCH))
148 CROSS_COMPILE = 148 CROSS_COMPILE =
149 else 149 else
150 ifeq ($(ARCH),ppc) 150 ifeq ($(ARCH),ppc)
151 CROSS_COMPILE = ppc_8xx- 151 CROSS_COMPILE = ppc_8xx-
152 endif 152 endif
153 ifeq ($(ARCH),arm) 153 ifeq ($(ARCH),arm)
154 CROSS_COMPILE = arm-linux- 154 CROSS_COMPILE = arm-linux-
155 endif 155 endif
156 ifeq ($(ARCH),i386) 156 ifeq ($(ARCH),i386)
157 CROSS_COMPILE = i386-linux- 157 CROSS_COMPILE = i386-linux-
158 endif 158 endif
159 ifeq ($(ARCH),mips) 159 ifeq ($(ARCH),mips)
160 CROSS_COMPILE = mips_4KC- 160 CROSS_COMPILE = mips_4KC-
161 endif 161 endif
162 ifeq ($(ARCH),nios) 162 ifeq ($(ARCH),nios)
163 CROSS_COMPILE = nios-elf- 163 CROSS_COMPILE = nios-elf-
164 endif 164 endif
165 ifeq ($(ARCH),nios2) 165 ifeq ($(ARCH),nios2)
166 CROSS_COMPILE = nios2-elf- 166 CROSS_COMPILE = nios2-elf-
167 endif 167 endif
168 ifeq ($(ARCH),m68k) 168 ifeq ($(ARCH),m68k)
169 CROSS_COMPILE = m68k-elf- 169 CROSS_COMPILE = m68k-elf-
170 endif 170 endif
171 ifeq ($(ARCH),microblaze) 171 ifeq ($(ARCH),microblaze)
172 CROSS_COMPILE = mb- 172 CROSS_COMPILE = mb-
173 endif 173 endif
174 ifeq ($(ARCH),blackfin) 174 ifeq ($(ARCH),blackfin)
175 CROSS_COMPILE = bfin-uclinux- 175 CROSS_COMPILE = bfin-uclinux-
176 endif 176 endif
177 ifeq ($(ARCH),avr32) 177 ifeq ($(ARCH),avr32)
178 CROSS_COMPILE = avr32-linux- 178 CROSS_COMPILE = avr32-linux-
179 endif 179 endif
180 ifeq ($(ARCH),sh) 180 ifeq ($(ARCH),sh)
181 CROSS_COMPILE = sh4-linux- 181 CROSS_COMPILE = sh4-linux-
182 endif 182 endif
183 ifeq ($(ARCH),sparc) 183 ifeq ($(ARCH),sparc)
184 CROSS_COMPILE = sparc-elf- 184 CROSS_COMPILE = sparc-elf-
185 endif # sparc 185 endif # sparc
186 endif # HOSTARCH,ARCH 186 endif # HOSTARCH,ARCH
187 endif # CROSS_COMPILE 187 endif # CROSS_COMPILE
188 188
189 export CROSS_COMPILE 189 export CROSS_COMPILE
190 190
191 # load other configuration 191 # load other configuration
192 include $(TOPDIR)/config.mk 192 include $(TOPDIR)/config.mk
193 193
194 ######################################################################### 194 #########################################################################
195 # U-Boot objects....order is important (i.e. start must be first) 195 # U-Boot objects....order is important (i.e. start must be first)
196 196
197 OBJS = cpu/$(CPU)/start.o 197 OBJS = cpu/$(CPU)/start.o
198 ifeq ($(CPU),i386) 198 ifeq ($(CPU),i386)
199 OBJS += cpu/$(CPU)/start16.o 199 OBJS += cpu/$(CPU)/start16.o
200 OBJS += cpu/$(CPU)/resetvec.o 200 OBJS += cpu/$(CPU)/resetvec.o
201 endif 201 endif
202 ifeq ($(CPU),ppc4xx) 202 ifeq ($(CPU),ppc4xx)
203 OBJS += cpu/$(CPU)/resetvec.o 203 OBJS += cpu/$(CPU)/resetvec.o
204 endif 204 endif
205 ifeq ($(CPU),mpc85xx) 205 ifeq ($(CPU),mpc85xx)
206 OBJS += cpu/$(CPU)/resetvec.o 206 OBJS += cpu/$(CPU)/resetvec.o
207 endif 207 endif
208 208
209 OBJS := $(addprefix $(obj),$(OBJS)) 209 OBJS := $(addprefix $(obj),$(OBJS))
210 210
211 LIBS = lib_generic/libgeneric.a 211 LIBS = lib_generic/libgeneric.a
212 LIBS += lib_generic/lzma/liblzma.a 212 LIBS += lib_generic/lzma/liblzma.a
213 LIBS += $(shell if [ -f board/$(VENDOR)/common/Makefile ]; then echo \ 213 LIBS += $(shell if [ -f board/$(VENDOR)/common/Makefile ]; then echo \
214 "board/$(VENDOR)/common/lib$(VENDOR).a"; fi) 214 "board/$(VENDOR)/common/lib$(VENDOR).a"; fi)
215 LIBS += cpu/$(CPU)/lib$(CPU).a 215 LIBS += cpu/$(CPU)/lib$(CPU).a
216 ifdef SOC 216 ifdef SOC
217 LIBS += cpu/$(CPU)/$(SOC)/lib$(SOC).a 217 LIBS += cpu/$(CPU)/$(SOC)/lib$(SOC).a
218 endif 218 endif
219 ifeq ($(CPU),ixp) 219 ifeq ($(CPU),ixp)
220 LIBS += cpu/ixp/npe/libnpe.a 220 LIBS += cpu/ixp/npe/libnpe.a
221 endif 221 endif
222 LIBS += lib_$(ARCH)/lib$(ARCH).a 222 LIBS += lib_$(ARCH)/lib$(ARCH).a
223 LIBS += fs/cramfs/libcramfs.a fs/fat/libfat.a fs/fdos/libfdos.a fs/jffs2/libjffs2.a \ 223 LIBS += fs/cramfs/libcramfs.a fs/fat/libfat.a fs/fdos/libfdos.a fs/jffs2/libjffs2.a \
224 fs/reiserfs/libreiserfs.a fs/ext2/libext2fs.a fs/yaffs2/libyaffs2.a 224 fs/reiserfs/libreiserfs.a fs/ext2/libext2fs.a fs/yaffs2/libyaffs2.a
225 LIBS += net/libnet.a 225 LIBS += net/libnet.a
226 LIBS += disk/libdisk.a 226 LIBS += disk/libdisk.a
227 LIBS += drivers/bios_emulator/libatibiosemu.a 227 LIBS += drivers/bios_emulator/libatibiosemu.a
228 LIBS += drivers/block/libblock.a 228 LIBS += drivers/block/libblock.a
229 LIBS += drivers/dma/libdma.a 229 LIBS += drivers/dma/libdma.a
230 LIBS += drivers/fpga/libfpga.a 230 LIBS += drivers/fpga/libfpga.a
231 LIBS += drivers/gpio/libgpio.a 231 LIBS += drivers/gpio/libgpio.a
232 LIBS += drivers/hwmon/libhwmon.a 232 LIBS += drivers/hwmon/libhwmon.a
233 LIBS += drivers/i2c/libi2c.a 233 LIBS += drivers/i2c/libi2c.a
234 LIBS += drivers/input/libinput.a 234 LIBS += drivers/input/libinput.a
235 LIBS += drivers/misc/libmisc.a 235 LIBS += drivers/misc/libmisc.a
236 LIBS += drivers/mmc/libmmc.a 236 LIBS += drivers/mmc/libmmc.a
237 LIBS += drivers/mtd/libmtd.a 237 LIBS += drivers/mtd/libmtd.a
238 LIBS += drivers/mtd/nand/libnand.a 238 LIBS += drivers/mtd/nand/libnand.a
239 LIBS += drivers/mtd/nand_legacy/libnand_legacy.a 239 LIBS += drivers/mtd/nand_legacy/libnand_legacy.a
240 LIBS += drivers/mtd/onenand/libonenand.a 240 LIBS += drivers/mtd/onenand/libonenand.a
241 LIBS += drivers/mtd/ubi/libubi.a 241 LIBS += drivers/mtd/ubi/libubi.a
242 LIBS += drivers/mtd/spi/libspi_flash.a 242 LIBS += drivers/mtd/spi/libspi_flash.a
243 LIBS += drivers/net/libnet.a 243 LIBS += drivers/net/libnet.a
244 LIBS += drivers/net/phy/libphy.a 244 LIBS += drivers/net/phy/libphy.a
245 LIBS += drivers/net/sk98lin/libsk98lin.a 245 LIBS += drivers/net/sk98lin/libsk98lin.a
246 LIBS += drivers/pci/libpci.a 246 LIBS += drivers/pci/libpci.a
247 LIBS += drivers/pcmcia/libpcmcia.a 247 LIBS += drivers/pcmcia/libpcmcia.a
248 LIBS += drivers/spi/libspi.a 248 LIBS += drivers/spi/libspi.a
249 ifeq ($(CPU),mpc83xx) 249 ifeq ($(CPU),mpc83xx)
250 LIBS += drivers/qe/qe.a 250 LIBS += drivers/qe/qe.a
251 endif 251 endif
252 ifeq ($(CPU),mpc85xx) 252 ifeq ($(CPU),mpc85xx)
253 LIBS += drivers/qe/qe.a 253 LIBS += drivers/qe/qe.a
254 LIBS += cpu/mpc8xxx/ddr/libddr.a 254 LIBS += cpu/mpc8xxx/ddr/libddr.a
255 TAG_SUBDIRS += cpu/mpc8xxx 255 TAG_SUBDIRS += cpu/mpc8xxx
256 endif 256 endif
257 ifeq ($(CPU),mpc86xx) 257 ifeq ($(CPU),mpc86xx)
258 LIBS += cpu/mpc8xxx/ddr/libddr.a 258 LIBS += cpu/mpc8xxx/ddr/libddr.a
259 TAG_SUBDIRS += cpu/mpc8xxx 259 TAG_SUBDIRS += cpu/mpc8xxx
260 endif 260 endif
261 LIBS += drivers/rtc/librtc.a 261 LIBS += drivers/rtc/librtc.a
262 LIBS += drivers/serial/libserial.a 262 LIBS += drivers/serial/libserial.a
263 LIBS += drivers/usb/libusb.a 263 LIBS += drivers/usb/libusb.a
264 LIBS += drivers/video/libvideo.a 264 LIBS += drivers/video/libvideo.a
265 LIBS += common/libcommon.a 265 LIBS += common/libcommon.a
266 LIBS += libfdt/libfdt.a 266 LIBS += libfdt/libfdt.a
267 LIBS += api/libapi.a 267 LIBS += api/libapi.a
268 LIBS += post/libpost.a 268 LIBS += post/libpost.a
269 269
270 LIBS := $(addprefix $(obj),$(LIBS)) 270 LIBS := $(addprefix $(obj),$(LIBS))
271 .PHONY : $(LIBS) $(TIMESTAMP_FILE) $(VERSION_FILE) 271 .PHONY : $(LIBS) $(TIMESTAMP_FILE) $(VERSION_FILE)
272 272
273 LIBBOARD = board/$(BOARDDIR)/lib$(BOARD).a 273 LIBBOARD = board/$(BOARDDIR)/lib$(BOARD).a
274 LIBBOARD := $(addprefix $(obj),$(LIBBOARD)) 274 LIBBOARD := $(addprefix $(obj),$(LIBBOARD))
275 275
276 # Add GCC lib 276 # Add GCC lib
277 PLATFORM_LIBS += -L $(shell dirname `$(CC) $(CFLAGS) -print-libgcc-file-name`) -lgcc 277 PLATFORM_LIBS += -L $(shell dirname `$(CC) $(CFLAGS) -print-libgcc-file-name`) -lgcc
278 278
279 # The "tools" are needed early, so put this first 279 # The "tools" are needed early, so put this first
280 # Don't include stuff already done in $(LIBS) 280 # Don't include stuff already done in $(LIBS)
281 SUBDIRS = tools \ 281 SUBDIRS = tools \
282 examples \ 282 examples \
283 api_examples 283 api_examples
284 284
285 .PHONY : $(SUBDIRS) 285 .PHONY : $(SUBDIRS)
286 286
287 ifeq ($(CONFIG_NAND_U_BOOT),y) 287 ifeq ($(CONFIG_NAND_U_BOOT),y)
288 NAND_SPL = nand_spl 288 NAND_SPL = nand_spl
289 U_BOOT_NAND = $(obj)u-boot-nand.bin 289 U_BOOT_NAND = $(obj)u-boot-nand.bin
290 endif 290 endif
291 291
292 ifeq ($(CONFIG_ONENAND_U_BOOT),y) 292 ifeq ($(CONFIG_ONENAND_U_BOOT),y)
293 ONENAND_IPL = onenand_ipl 293 ONENAND_IPL = onenand_ipl
294 U_BOOT_ONENAND = $(obj)u-boot-onenand.bin 294 U_BOOT_ONENAND = $(obj)u-boot-onenand.bin
295 endif 295 endif
296 296
297 __OBJS := $(subst $(obj),,$(OBJS)) 297 __OBJS := $(subst $(obj),,$(OBJS))
298 __LIBS := $(subst $(obj),,$(LIBS)) $(subst $(obj),,$(LIBBOARD)) 298 __LIBS := $(subst $(obj),,$(LIBS)) $(subst $(obj),,$(LIBBOARD))
299 299
300 ######################################################################### 300 #########################################################################
301 ######################################################################### 301 #########################################################################
302 302
303 ALL += $(obj)u-boot.srec $(obj)u-boot.bin $(obj)System.map $(U_BOOT_NAND) $(U_BOOT_ONENAND) 303 ALL += $(obj)u-boot.srec $(obj)u-boot.bin $(obj)System.map $(U_BOOT_NAND) $(U_BOOT_ONENAND)
304 ifeq ($(ARCH),blackfin) 304 ifeq ($(ARCH),blackfin)
305 ALL += $(obj)u-boot.ldr 305 ALL += $(obj)u-boot.ldr
306 endif 306 endif
307 307
308 all: $(ALL) 308 all: $(ALL)
309 309
310 $(obj)u-boot.hex: $(obj)u-boot 310 $(obj)u-boot.hex: $(obj)u-boot
311 $(OBJCOPY) ${OBJCFLAGS} -O ihex $< $@ 311 $(OBJCOPY) ${OBJCFLAGS} -O ihex $< $@
312 312
313 $(obj)u-boot.srec: $(obj)u-boot 313 $(obj)u-boot.srec: $(obj)u-boot
314 $(OBJCOPY) -O srec $< $@ 314 $(OBJCOPY) -O srec $< $@
315 315
316 $(obj)u-boot.bin: $(obj)u-boot 316 $(obj)u-boot.bin: $(obj)u-boot
317 $(OBJCOPY) ${OBJCFLAGS} -O binary $< $@ 317 $(OBJCOPY) ${OBJCFLAGS} -O binary $< $@
318 318
319 $(obj)u-boot.ldr: $(obj)u-boot 319 $(obj)u-boot.ldr: $(obj)u-boot
320 $(LDR) -T $(CONFIG_BFIN_CPU) -f -c $@ $< $(LDR_FLAGS) 320 $(LDR) -T $(CONFIG_BFIN_CPU) -f -c $@ $< $(LDR_FLAGS)
321 321
322 $(obj)u-boot.ldr.hex: $(obj)u-boot.ldr 322 $(obj)u-boot.ldr.hex: $(obj)u-boot.ldr
323 $(OBJCOPY) ${OBJCFLAGS} -O ihex $< $@ -I binary 323 $(OBJCOPY) ${OBJCFLAGS} -O ihex $< $@ -I binary
324 324
325 $(obj)u-boot.ldr.srec: $(obj)u-boot.ldr 325 $(obj)u-boot.ldr.srec: $(obj)u-boot.ldr
326 $(OBJCOPY) ${OBJCFLAGS} -O srec $< $@ -I binary 326 $(OBJCOPY) ${OBJCFLAGS} -O srec $< $@ -I binary
327 327
328 $(obj)u-boot.img: $(obj)u-boot.bin 328 $(obj)u-boot.img: $(obj)u-boot.bin
329 ./tools/mkimage -A $(ARCH) -T firmware -C none \ 329 ./tools/mkimage -A $(ARCH) -T firmware -C none \
330 -a $(TEXT_BASE) -e 0 \ 330 -a $(TEXT_BASE) -e 0 \
331 -n $(shell sed -n -e 's/.*U_BOOT_VERSION//p' $(VERSION_FILE) | \ 331 -n $(shell sed -n -e 's/.*U_BOOT_VERSION//p' $(VERSION_FILE) | \
332 sed -e 's/"[ ]*$$/ for $(BOARD) board"/') \ 332 sed -e 's/"[ ]*$$/ for $(BOARD) board"/') \
333 -d $< $@ 333 -d $< $@
334 334
335 $(obj)u-boot.sha1: $(obj)u-boot.bin 335 $(obj)u-boot.sha1: $(obj)u-boot.bin
336 $(obj)tools/ubsha1 $(obj)u-boot.bin 336 $(obj)tools/ubsha1 $(obj)u-boot.bin
337 337
338 $(obj)u-boot.dis: $(obj)u-boot 338 $(obj)u-boot.dis: $(obj)u-boot
339 $(OBJDUMP) -d $< > $@ 339 $(OBJDUMP) -d $< > $@
340 340
341 $(obj)u-boot: depend $(SUBDIRS) $(OBJS) $(LIBBOARD) $(LIBS) $(LDSCRIPT) 341 $(obj)u-boot: depend $(SUBDIRS) $(OBJS) $(LIBBOARD) $(LIBS) $(LDSCRIPT)
342 UNDEF_SYM=`$(OBJDUMP) -x $(LIBBOARD) $(LIBS) | \ 342 UNDEF_SYM=`$(OBJDUMP) -x $(LIBBOARD) $(LIBS) | \
343 sed -n -e 's/.*\($(SYM_PREFIX)__u_boot_cmd_.*\)/-u\1/p'|sort|uniq`;\ 343 sed -n -e 's/.*\($(SYM_PREFIX)__u_boot_cmd_.*\)/-u\1/p'|sort|uniq`;\
344 cd $(LNDIR) && $(LD) $(LDFLAGS) $$UNDEF_SYM $(__OBJS) \ 344 cd $(LNDIR) && $(LD) $(LDFLAGS) $$UNDEF_SYM $(__OBJS) \
345 --start-group $(__LIBS) --end-group $(PLATFORM_LIBS) \ 345 --start-group $(__LIBS) --end-group $(PLATFORM_LIBS) \
346 -Map u-boot.map -o u-boot 346 -Map u-boot.map -o u-boot
347 347
348 $(OBJS): depend $(obj)include/autoconf.mk 348 $(OBJS): depend $(obj)include/autoconf.mk
349 $(MAKE) -C cpu/$(CPU) $(if $(REMOTE_BUILD),$@,$(notdir $@)) 349 $(MAKE) -C cpu/$(CPU) $(if $(REMOTE_BUILD),$@,$(notdir $@))
350 350
351 $(LIBS): depend $(obj)include/autoconf.mk $(SUBDIRS) 351 $(LIBS): depend $(obj)include/autoconf.mk $(SUBDIRS)
352 $(MAKE) -C $(dir $(subst $(obj),,$@)) 352 $(MAKE) -C $(dir $(subst $(obj),,$@))
353 353
354 $(LIBBOARD): depend $(LIBS) $(obj)include/autoconf.mk 354 $(LIBBOARD): depend $(LIBS) $(obj)include/autoconf.mk
355 $(MAKE) -C $(dir $(subst $(obj),,$@)) 355 $(MAKE) -C $(dir $(subst $(obj),,$@))
356 356
357 $(SUBDIRS): depend $(obj)include/autoconf.mk 357 $(SUBDIRS): depend $(obj)include/autoconf.mk
358 $(MAKE) -C $@ all 358 $(MAKE) -C $@ all
359 359
360 $(LDSCRIPT): depend $(obj)include/autoconf.mk 360 $(LDSCRIPT): depend $(obj)include/autoconf.mk
361 $(MAKE) -C $(dir $@) $(notdir $@) 361 $(MAKE) -C $(dir $@) $(notdir $@)
362 362
363 $(NAND_SPL): $(TIMESTAMP_FILE) $(VERSION_FILE) $(obj)include/autoconf.mk 363 $(NAND_SPL): $(TIMESTAMP_FILE) $(VERSION_FILE) $(obj)include/autoconf.mk
364 $(MAKE) -C nand_spl/board/$(BOARDDIR) all 364 $(MAKE) -C nand_spl/board/$(BOARDDIR) all
365 365
366 $(U_BOOT_NAND): $(NAND_SPL) $(obj)u-boot.bin $(obj)include/autoconf.mk 366 $(U_BOOT_NAND): $(NAND_SPL) $(obj)u-boot.bin $(obj)include/autoconf.mk
367 cat $(obj)nand_spl/u-boot-spl-16k.bin $(obj)u-boot.bin > $(obj)u-boot-nand.bin 367 cat $(obj)nand_spl/u-boot-spl-16k.bin $(obj)u-boot.bin > $(obj)u-boot-nand.bin
368 368
369 $(ONENAND_IPL): $(TIMESTAMP_FILE) $(VERSION_FILE) $(obj)include/autoconf.mk 369 $(ONENAND_IPL): $(TIMESTAMP_FILE) $(VERSION_FILE) $(obj)include/autoconf.mk
370 $(MAKE) -C onenand_ipl/board/$(BOARDDIR) all 370 $(MAKE) -C onenand_ipl/board/$(BOARDDIR) all
371 371
372 $(U_BOOT_ONENAND): $(ONENAND_IPL) $(obj)u-boot.bin $(obj)include/autoconf.mk 372 $(U_BOOT_ONENAND): $(ONENAND_IPL) $(obj)u-boot.bin $(obj)include/autoconf.mk
373 cat $(obj)onenand_ipl/onenand-ipl-2k.bin $(obj)u-boot.bin > $(obj)u-boot-onenand.bin 373 cat $(obj)onenand_ipl/onenand-ipl-2k.bin $(obj)u-boot.bin > $(obj)u-boot-onenand.bin
374 cat $(obj)onenand_ipl/onenand-ipl-4k.bin $(obj)u-boot.bin > $(obj)u-boot-flexonenand.bin 374 cat $(obj)onenand_ipl/onenand-ipl-4k.bin $(obj)u-boot.bin > $(obj)u-boot-flexonenand.bin
375 375
376 $(VERSION_FILE): 376 $(VERSION_FILE):
377 @( printf '#define U_BOOT_VERSION "U-Boot %s%s"\n' "$(U_BOOT_VERSION)" \ 377 @( printf '#define U_BOOT_VERSION "U-Boot %s%s"\n' "$(U_BOOT_VERSION)" \
378 '$(shell $(TOPDIR)/tools/setlocalversion $(TOPDIR))' ) > $@.tmp 378 '$(shell $(TOPDIR)/tools/setlocalversion $(TOPDIR))' ) > $@.tmp
379 @cmp -s $@ $@.tmp && rm -f $@.tmp || mv -f $@.tmp $@ 379 @cmp -s $@ $@.tmp && rm -f $@.tmp || mv -f $@.tmp $@
380 380
381 $(TIMESTAMP_FILE): 381 $(TIMESTAMP_FILE):
382 @date +'#define U_BOOT_DATE "%b %d %C%y"' > $@ 382 @date +'#define U_BOOT_DATE "%b %d %C%y"' > $@
383 @date +'#define U_BOOT_TIME "%T"' >> $@ 383 @date +'#define U_BOOT_TIME "%T"' >> $@
384 384
385 gdbtools: 385 gdbtools:
386 $(MAKE) -C tools/gdb all || exit 1 386 $(MAKE) -C tools/gdb all || exit 1
387 387
388 updater: 388 updater:
389 $(MAKE) -C tools/updater all || exit 1 389 $(MAKE) -C tools/updater all || exit 1
390 390
391 env: 391 env:
392 $(MAKE) -C tools/env all MTD_VERSION=${MTD_VERSION} || exit 1 392 $(MAKE) -C tools/env all MTD_VERSION=${MTD_VERSION} || exit 1
393 393
394 depend dep: $(TIMESTAMP_FILE) $(VERSION_FILE) 394 depend dep: $(TIMESTAMP_FILE) $(VERSION_FILE)
395 for dir in $(SUBDIRS) ; do $(MAKE) -C $$dir _depend ; done 395 for dir in $(SUBDIRS) ; do $(MAKE) -C $$dir _depend ; done
396 396
397 TAG_SUBDIRS += include 397 TAG_SUBDIRS += include
398 TAG_SUBDIRS += lib_generic board/$(BOARDDIR) 398 TAG_SUBDIRS += lib_generic board/$(BOARDDIR)
399 TAG_SUBDIRS += cpu/$(CPU) 399 TAG_SUBDIRS += cpu/$(CPU)
400 TAG_SUBDIRS += lib_$(ARCH) 400 TAG_SUBDIRS += lib_$(ARCH)
401 TAG_SUBDIRS += fs/cramfs 401 TAG_SUBDIRS += fs/cramfs
402 TAG_SUBDIRS += fs/fat 402 TAG_SUBDIRS += fs/fat
403 TAG_SUBDIRS += fs/fdos 403 TAG_SUBDIRS += fs/fdos
404 TAG_SUBDIRS += fs/jffs2 404 TAG_SUBDIRS += fs/jffs2
405 TAG_SUBDIRS += fs/yaffs2 405 TAG_SUBDIRS += fs/yaffs2
406 TAG_SUBDIRS += net 406 TAG_SUBDIRS += net
407 TAG_SUBDIRS += disk 407 TAG_SUBDIRS += disk
408 TAG_SUBDIRS += common 408 TAG_SUBDIRS += common
409 TAG_SUBDIRS += drivers/bios_emulator 409 TAG_SUBDIRS += drivers/bios_emulator
410 TAG_SUBDIRS += drivers/block 410 TAG_SUBDIRS += drivers/block
411 TAG_SUBDIRS += drivers/gpio 411 TAG_SUBDIRS += drivers/gpio
412 TAG_SUBDIRS += drivers/hwmon 412 TAG_SUBDIRS += drivers/hwmon
413 TAG_SUBDIRS += drivers/i2c 413 TAG_SUBDIRS += drivers/i2c
414 TAG_SUBDIRS += drivers/input 414 TAG_SUBDIRS += drivers/input
415 TAG_SUBDIRS += drivers/misc 415 TAG_SUBDIRS += drivers/misc
416 TAG_SUBDIRS += drivers/mmc 416 TAG_SUBDIRS += drivers/mmc
417 TAG_SUBDIRS += drivers/mtd 417 TAG_SUBDIRS += drivers/mtd
418 TAG_SUBDIRS += drivers/mtd/nand 418 TAG_SUBDIRS += drivers/mtd/nand
419 TAG_SUBDIRS += drivers/mtd/nand_legacy 419 TAG_SUBDIRS += drivers/mtd/nand_legacy
420 TAG_SUBDIRS += drivers/mtd/onenand 420 TAG_SUBDIRS += drivers/mtd/onenand
421 TAG_SUBDIRS += drivers/mtd/spi 421 TAG_SUBDIRS += drivers/mtd/spi
422 TAG_SUBDIRS += drivers/net 422 TAG_SUBDIRS += drivers/net
423 TAG_SUBDIRS += drivers/net/sk98lin 423 TAG_SUBDIRS += drivers/net/sk98lin
424 TAG_SUBDIRS += drivers/pci 424 TAG_SUBDIRS += drivers/pci
425 TAG_SUBDIRS += drivers/pcmcia 425 TAG_SUBDIRS += drivers/pcmcia
426 TAG_SUBDIRS += drivers/qe 426 TAG_SUBDIRS += drivers/qe
427 TAG_SUBDIRS += drivers/rtc 427 TAG_SUBDIRS += drivers/rtc
428 TAG_SUBDIRS += drivers/serial 428 TAG_SUBDIRS += drivers/serial
429 TAG_SUBDIRS += drivers/spi 429 TAG_SUBDIRS += drivers/spi
430 TAG_SUBDIRS += drivers/usb 430 TAG_SUBDIRS += drivers/usb
431 TAG_SUBDIRS += drivers/video 431 TAG_SUBDIRS += drivers/video
432 432
433 tags ctags: 433 tags ctags:
434 ctags -w -o $(obj)ctags `find $(SUBDIRS) $(TAG_SUBDIRS) \ 434 ctags -w -o $(obj)ctags `find $(SUBDIRS) $(TAG_SUBDIRS) \
435 -name '*.[ch]' -print` 435 -name '*.[ch]' -print`
436 436
437 etags: 437 etags:
438 etags -a -o $(obj)etags `find $(SUBDIRS) $(TAG_SUBDIRS) \ 438 etags -a -o $(obj)etags `find $(SUBDIRS) $(TAG_SUBDIRS) \
439 -name '*.[ch]' -print` 439 -name '*.[ch]' -print`
440 cscope: 440 cscope:
441 find $(SUBDIRS) $(TAG_SUBDIRS) -name '*.[ch]' -print \ 441 find $(SUBDIRS) $(TAG_SUBDIRS) -name '*.[ch]' -print \
442 > cscope.files 442 > cscope.files
443 cscope -b -q -k 443 cscope -b -q -k
444 444
445 $(obj)System.map: $(obj)u-boot 445 $(obj)System.map: $(obj)u-boot
446 @$(NM) $< | \ 446 @$(NM) $< | \
447 grep -v '\(compiled\)\|\(\.o$$\)\|\( [aUw] \)\|\(\.\.ng$$\)\|\(LASH[RL]DI\)' | \ 447 grep -v '\(compiled\)\|\(\.o$$\)\|\( [aUw] \)\|\(\.\.ng$$\)\|\(LASH[RL]DI\)' | \
448 sort > $(obj)System.map 448 sort > $(obj)System.map
449 449
450 # 450 #
451 # Auto-generate the autoconf.mk file (which is included by all makefiles) 451 # Auto-generate the autoconf.mk file (which is included by all makefiles)
452 # 452 #
453 # This target actually generates 2 files; autoconf.mk and autoconf.mk.dep. 453 # This target actually generates 2 files; autoconf.mk and autoconf.mk.dep.
454 # the dep file is only include in this top level makefile to determine when 454 # the dep file is only include in this top level makefile to determine when
455 # to regenerate the autoconf.mk file. 455 # to regenerate the autoconf.mk file.
456 $(obj)include/autoconf.mk.dep: $(obj)include/config.h include/common.h 456 $(obj)include/autoconf.mk.dep: $(obj)include/config.h include/common.h
457 @$(XECHO) Generating $@ ; \ 457 @$(XECHO) Generating $@ ; \
458 set -e ; \ 458 set -e ; \
459 : Generate the dependancies ; \ 459 : Generate the dependancies ; \
460 $(CC) -x c -DDO_DEPS_ONLY -M $(HOST_CFLAGS) $(CPPFLAGS) \ 460 $(CC) -x c -DDO_DEPS_ONLY -M $(HOST_CFLAGS) $(CPPFLAGS) \
461 -MQ $(obj)include/autoconf.mk include/common.h > $@ 461 -MQ $(obj)include/autoconf.mk include/common.h > $@
462 462
463 $(obj)include/autoconf.mk: $(obj)include/config.h 463 $(obj)include/autoconf.mk: $(obj)include/config.h
464 @$(XECHO) Generating $@ ; \ 464 @$(XECHO) Generating $@ ; \
465 set -e ; \ 465 set -e ; \
466 : Extract the config macros ; \ 466 : Extract the config macros ; \
467 $(CPP) $(CFLAGS) -DDO_DEPS_ONLY -dM include/common.h | \ 467 $(CPP) $(CFLAGS) -DDO_DEPS_ONLY -dM include/common.h | \
468 sed -n -f tools/scripts/define2mk.sed > $@.tmp && \ 468 sed -n -f tools/scripts/define2mk.sed > $@.tmp && \
469 mv $@.tmp $@ 469 mv $@.tmp $@
470 470
471 sinclude $(obj)include/autoconf.mk.dep 471 sinclude $(obj)include/autoconf.mk.dep
472 472
473 ######################################################################### 473 #########################################################################
474 else # !config.mk 474 else # !config.mk
475 all $(obj)u-boot.hex $(obj)u-boot.srec $(obj)u-boot.bin \ 475 all $(obj)u-boot.hex $(obj)u-boot.srec $(obj)u-boot.bin \
476 $(obj)u-boot.img $(obj)u-boot.dis $(obj)u-boot \ 476 $(obj)u-boot.img $(obj)u-boot.dis $(obj)u-boot \
477 $(SUBDIRS) $(TIMESTAMP_FILE) $(VERSION_FILE) gdbtools updater env depend \ 477 $(SUBDIRS) $(TIMESTAMP_FILE) $(VERSION_FILE) gdbtools updater env depend \
478 dep tags ctags etags cscope $(obj)System.map: 478 dep tags ctags etags cscope $(obj)System.map:
479 @echo "System not configured - see README" >&2 479 @echo "System not configured - see README" >&2
480 @ exit 1 480 @ exit 1
481 endif # config.mk 481 endif # config.mk
482 482
483 .PHONY : CHANGELOG 483 .PHONY : CHANGELOG
484 CHANGELOG: 484 CHANGELOG:
485 git log --no-merges U-Boot-1_1_5.. | \ 485 git log --no-merges U-Boot-1_1_5.. | \
486 unexpand -a | sed -e 's/\s\s*$$//' > $@ 486 unexpand -a | sed -e 's/\s\s*$$//' > $@
487 487
488 include/license.h: tools/bin2header COPYING 488 include/license.h: tools/bin2header COPYING
489 cat COPYING | gzip -9 -c | ./tools/bin2header license_gzip > include/license.h 489 cat COPYING | gzip -9 -c | ./tools/bin2header license_gzip > include/license.h
490 ######################################################################### 490 #########################################################################
491 491
492 unconfig: 492 unconfig:
493 @rm -f $(obj)include/config.h $(obj)include/config.mk \ 493 @rm -f $(obj)include/config.h $(obj)include/config.mk \
494 $(obj)board/*/config.tmp $(obj)board/*/*/config.tmp \ 494 $(obj)board/*/config.tmp $(obj)board/*/*/config.tmp \
495 $(obj)include/autoconf.mk $(obj)include/autoconf.mk.dep 495 $(obj)include/autoconf.mk $(obj)include/autoconf.mk.dep
496 496
497 #======================================================================== 497 #========================================================================
498 # PowerPC 498 # PowerPC
499 #======================================================================== 499 #========================================================================
500 500
501 ######################################################################### 501 #########################################################################
502 ## MPC5xx Systems 502 ## MPC5xx Systems
503 ######################################################################### 503 #########################################################################
504 504
505 canmb_config: unconfig 505 canmb_config: unconfig
506 @$(MKCONFIG) -a canmb ppc mpc5xxx canmb 506 @$(MKCONFIG) -a canmb ppc mpc5xxx canmb
507 507
508 cmi_mpc5xx_config: unconfig 508 cmi_mpc5xx_config: unconfig
509 @$(MKCONFIG) $(@:_config=) ppc mpc5xx cmi 509 @$(MKCONFIG) $(@:_config=) ppc mpc5xx cmi
510 510
511 PATI_config: unconfig 511 PATI_config: unconfig
512 @$(MKCONFIG) $(@:_config=) ppc mpc5xx pati mpl 512 @$(MKCONFIG) $(@:_config=) ppc mpc5xx pati mpl
513 513
514 ######################################################################### 514 #########################################################################
515 ## MPC5xxx Systems 515 ## MPC5xxx Systems
516 ######################################################################### 516 #########################################################################
517 517
518 aev_config: unconfig 518 aev_config: unconfig
519 @$(MKCONFIG) -a aev ppc mpc5xxx tqm5200 tqc 519 @$(MKCONFIG) -a aev ppc mpc5xxx tqm5200 tqc
520 520
521 BC3450_config: unconfig 521 BC3450_config: unconfig
522 @$(MKCONFIG) -a BC3450 ppc mpc5xxx bc3450 522 @$(MKCONFIG) -a BC3450 ppc mpc5xxx bc3450
523 523
524 cm5200_config: unconfig 524 cm5200_config: unconfig
525 @$(MKCONFIG) -a cm5200 ppc mpc5xxx cm5200 525 @$(MKCONFIG) -a cm5200 ppc mpc5xxx cm5200
526 526
527 cpci5200_config: unconfig 527 cpci5200_config: unconfig
528 @$(MKCONFIG) -a cpci5200 ppc mpc5xxx cpci5200 esd 528 @$(MKCONFIG) -a cpci5200 ppc mpc5xxx cpci5200 esd
529 529
530 hmi1001_config: unconfig 530 hmi1001_config: unconfig
531 @$(MKCONFIG) hmi1001 ppc mpc5xxx hmi1001 531 @$(MKCONFIG) hmi1001 ppc mpc5xxx hmi1001
532 532
533 Lite5200_config \ 533 Lite5200_config \
534 Lite5200_LOWBOOT_config \ 534 Lite5200_LOWBOOT_config \
535 Lite5200_LOWBOOT08_config \ 535 Lite5200_LOWBOOT08_config \
536 icecube_5200_config \ 536 icecube_5200_config \
537 icecube_5200_LOWBOOT_config \ 537 icecube_5200_LOWBOOT_config \
538 icecube_5200_LOWBOOT08_config \ 538 icecube_5200_LOWBOOT08_config \
539 icecube_5200_DDR_config \ 539 icecube_5200_DDR_config \
540 icecube_5200_DDR_LOWBOOT_config \ 540 icecube_5200_DDR_LOWBOOT_config \
541 icecube_5200_DDR_LOWBOOT08_config \ 541 icecube_5200_DDR_LOWBOOT08_config \
542 icecube_5100_config: unconfig 542 icecube_5100_config: unconfig
543 @mkdir -p $(obj)include 543 @mkdir -p $(obj)include
544 @mkdir -p $(obj)board/icecube 544 @mkdir -p $(obj)board/icecube
545 @[ -z "$(findstring LOWBOOT_,$@)" ] || \ 545 @[ -z "$(findstring LOWBOOT_,$@)" ] || \
546 { if [ "$(findstring DDR,$@)" ] ; \ 546 { if [ "$(findstring DDR,$@)" ] ; \
547 then echo "TEXT_BASE = 0xFF800000" >$(obj)board/icecube/config.tmp ; \ 547 then echo "TEXT_BASE = 0xFF800000" >$(obj)board/icecube/config.tmp ; \
548 else echo "TEXT_BASE = 0xFF000000" >$(obj)board/icecube/config.tmp ; \ 548 else echo "TEXT_BASE = 0xFF000000" >$(obj)board/icecube/config.tmp ; \
549 fi ; \ 549 fi ; \
550 $(XECHO) "... with LOWBOOT configuration" ; \ 550 $(XECHO) "... with LOWBOOT configuration" ; \
551 } 551 }
552 @[ -z "$(findstring LOWBOOT08,$@)" ] || \ 552 @[ -z "$(findstring LOWBOOT08,$@)" ] || \
553 { echo "TEXT_BASE = 0xFF800000" >$(obj)board/icecube/config.tmp ; \ 553 { echo "TEXT_BASE = 0xFF800000" >$(obj)board/icecube/config.tmp ; \
554 echo "... with 8 MB flash only" ; \ 554 echo "... with 8 MB flash only" ; \
555 $(XECHO) "... with LOWBOOT configuration" ; \ 555 $(XECHO) "... with LOWBOOT configuration" ; \
556 } 556 }
557 @[ -z "$(findstring DDR,$@)" ] || \ 557 @[ -z "$(findstring DDR,$@)" ] || \
558 { echo "#define CONFIG_MPC5200_DDR" >>$(obj)include/config.h ; \ 558 { echo "#define CONFIG_MPC5200_DDR" >>$(obj)include/config.h ; \
559 $(XECHO) "... DDR memory revision" ; \ 559 $(XECHO) "... DDR memory revision" ; \
560 } 560 }
561 @[ -z "$(findstring 5200,$@)" ] || \ 561 @[ -z "$(findstring 5200,$@)" ] || \
562 { echo "#define CONFIG_MPC5200" >>$(obj)include/config.h ; \ 562 { echo "#define CONFIG_MPC5200" >>$(obj)include/config.h ; \
563 $(XECHO) "... with MPC5200 processor" ; \ 563 $(XECHO) "... with MPC5200 processor" ; \
564 } 564 }
565 @[ -z "$(findstring 5100,$@)" ] || \ 565 @[ -z "$(findstring 5100,$@)" ] || \
566 { echo "#define CONFIG_MGT5100" >>$(obj)include/config.h ; \ 566 { echo "#define CONFIG_MGT5100" >>$(obj)include/config.h ; \
567 $(XECHO) "... with MGT5100 processor" ; \ 567 $(XECHO) "... with MGT5100 processor" ; \
568 } 568 }
569 @$(MKCONFIG) -a IceCube ppc mpc5xxx icecube 569 @$(MKCONFIG) -a IceCube ppc mpc5xxx icecube
570 570
571 jupiter_config: unconfig 571 jupiter_config: unconfig
572 @$(MKCONFIG) jupiter ppc mpc5xxx jupiter 572 @$(MKCONFIG) jupiter ppc mpc5xxx jupiter
573 573
574 inka4x0_config: unconfig 574 inka4x0_config: unconfig
575 @$(MKCONFIG) inka4x0 ppc mpc5xxx inka4x0 575 @$(MKCONFIG) inka4x0 ppc mpc5xxx inka4x0
576 576
577 lite5200b_config \ 577 lite5200b_config \
578 lite5200b_PM_config \ 578 lite5200b_PM_config \
579 lite5200b_LOWBOOT_config: unconfig 579 lite5200b_LOWBOOT_config: unconfig
580 @mkdir -p $(obj)include 580 @mkdir -p $(obj)include
581 @mkdir -p $(obj)board/icecube 581 @mkdir -p $(obj)board/icecube
582 @ echo "#define CONFIG_MPC5200_DDR" >>$(obj)include/config.h 582 @ echo "#define CONFIG_MPC5200_DDR" >>$(obj)include/config.h
583 @ $(XECHO) "... DDR memory revision" 583 @ $(XECHO) "... DDR memory revision"
584 @ echo "#define CONFIG_MPC5200" >>$(obj)include/config.h 584 @ echo "#define CONFIG_MPC5200" >>$(obj)include/config.h
585 @ echo "#define CONFIG_LITE5200B" >>$(obj)include/config.h 585 @ echo "#define CONFIG_LITE5200B" >>$(obj)include/config.h
586 @[ -z "$(findstring _PM_,$@)" ] || \ 586 @[ -z "$(findstring _PM_,$@)" ] || \
587 { echo "#define CONFIG_LITE5200B_PM" >>$(obj)include/config.h ; \ 587 { echo "#define CONFIG_LITE5200B_PM" >>$(obj)include/config.h ; \
588 $(XECHO) "... with power management (low-power mode) support" ; \ 588 $(XECHO) "... with power management (low-power mode) support" ; \
589 } 589 }
590 @[ -z "$(findstring LOWBOOT_,$@)" ] || \ 590 @[ -z "$(findstring LOWBOOT_,$@)" ] || \
591 { echo "TEXT_BASE = 0xFF000000" >$(obj)board/icecube/config.tmp ; \ 591 { echo "TEXT_BASE = 0xFF000000" >$(obj)board/icecube/config.tmp ; \
592 $(XECHO) "... with LOWBOOT configuration" ; \ 592 $(XECHO) "... with LOWBOOT configuration" ; \
593 } 593 }
594 @ $(XECHO) "... with MPC5200B processor" 594 @ $(XECHO) "... with MPC5200B processor"
595 @$(MKCONFIG) -a IceCube ppc mpc5xxx icecube 595 @$(MKCONFIG) -a IceCube ppc mpc5xxx icecube
596 596
597 mcc200_config \ 597 mcc200_config \
598 mcc200_SDRAM_config \ 598 mcc200_SDRAM_config \
599 mcc200_highboot_config \ 599 mcc200_highboot_config \
600 mcc200_COM12_config \ 600 mcc200_COM12_config \
601 mcc200_COM12_SDRAM_config \ 601 mcc200_COM12_SDRAM_config \
602 mcc200_COM12_highboot_config \ 602 mcc200_COM12_highboot_config \
603 mcc200_COM12_highboot_SDRAM_config \ 603 mcc200_COM12_highboot_SDRAM_config \
604 mcc200_highboot_SDRAM_config \ 604 mcc200_highboot_SDRAM_config \
605 prs200_config \ 605 prs200_config \
606 prs200_DDR_config \ 606 prs200_DDR_config \
607 prs200_highboot_config \ 607 prs200_highboot_config \
608 prs200_highboot_DDR_config: unconfig 608 prs200_highboot_DDR_config: unconfig
609 @mkdir -p $(obj)include 609 @mkdir -p $(obj)include
610 @mkdir -p $(obj)board/mcc200 610 @mkdir -p $(obj)board/mcc200
611 @[ -n "$(findstring highboot,$@)" ] || \ 611 @[ -n "$(findstring highboot,$@)" ] || \
612 { $(XECHO) "... with lowboot configuration" ; \ 612 { $(XECHO) "... with lowboot configuration" ; \
613 } 613 }
614 @[ -z "$(findstring highboot,$@)" ] || \ 614 @[ -z "$(findstring highboot,$@)" ] || \
615 { echo "TEXT_BASE = 0xFFF00000" >$(obj)board/mcc200/config.tmp ; \ 615 { echo "TEXT_BASE = 0xFFF00000" >$(obj)board/mcc200/config.tmp ; \
616 $(XECHO) "... with highboot configuration" ; \ 616 $(XECHO) "... with highboot configuration" ; \
617 } 617 }
618 @[ -n "$(findstring _SDRAM,$@)" ] || \ 618 @[ -n "$(findstring _SDRAM,$@)" ] || \
619 { if [ -n "$(findstring mcc200,$@)" ]; \ 619 { if [ -n "$(findstring mcc200,$@)" ]; \
620 then \ 620 then \
621 $(XECHO) "... with DDR" ; \ 621 $(XECHO) "... with DDR" ; \
622 else \ 622 else \
623 if [ -n "$(findstring _DDR,$@)" ];\ 623 if [ -n "$(findstring _DDR,$@)" ];\
624 then \ 624 then \
625 $(XECHO) "... with DDR" ; \ 625 $(XECHO) "... with DDR" ; \
626 else \ 626 else \
627 echo "#define CONFIG_MCC200_SDRAM" >>$(obj)include/config.h ;\ 627 echo "#define CONFIG_MCC200_SDRAM" >>$(obj)include/config.h ;\
628 $(XECHO) "... with SDRAM" ; \ 628 $(XECHO) "... with SDRAM" ; \
629 fi; \ 629 fi; \
630 fi; \ 630 fi; \
631 } 631 }
632 @[ -z "$(findstring _SDRAM,$@)" ] || \ 632 @[ -z "$(findstring _SDRAM,$@)" ] || \
633 { echo "#define CONFIG_MCC200_SDRAM" >>$(obj)include/config.h ; \ 633 { echo "#define CONFIG_MCC200_SDRAM" >>$(obj)include/config.h ; \
634 $(XECHO) "... with SDRAM" ; \ 634 $(XECHO) "... with SDRAM" ; \
635 } 635 }
636 @[ -z "$(findstring COM12,$@)" ] || \ 636 @[ -z "$(findstring COM12,$@)" ] || \
637 { echo "#define CONFIG_CONSOLE_COM12" >>$(obj)include/config.h ; \ 637 { echo "#define CONFIG_CONSOLE_COM12" >>$(obj)include/config.h ; \
638 $(XECHO) "... with console on COM12" ; \ 638 $(XECHO) "... with console on COM12" ; \
639 } 639 }
640 @[ -z "$(findstring prs200,$@)" ] || \ 640 @[ -z "$(findstring prs200,$@)" ] || \
641 { echo "#define CONFIG_PRS200" >>$(obj)include/config.h ;\ 641 { echo "#define CONFIG_PRS200" >>$(obj)include/config.h ;\
642 } 642 }
643 @$(MKCONFIG) -n $@ -a mcc200 ppc mpc5xxx mcc200 643 @$(MKCONFIG) -n $@ -a mcc200 ppc mpc5xxx mcc200
644 644
645 mecp5200_config: unconfig 645 mecp5200_config: unconfig
646 @$(MKCONFIG) mecp5200 ppc mpc5xxx mecp5200 esd 646 @$(MKCONFIG) mecp5200 ppc mpc5xxx mecp5200 esd
647 647
648 motionpro_config: unconfig 648 motionpro_config: unconfig
649 @$(MKCONFIG) motionpro ppc mpc5xxx motionpro 649 @$(MKCONFIG) motionpro ppc mpc5xxx motionpro
650 650
651 mucmc52_config: unconfig 651 mucmc52_config: unconfig
652 @$(MKCONFIG) mucmc52 ppc mpc5xxx mucmc52 652 @$(MKCONFIG) mucmc52 ppc mpc5xxx mucmc52
653 653
654 munices_config: unconfig 654 munices_config: unconfig
655 @$(MKCONFIG) munices ppc mpc5xxx munices 655 @$(MKCONFIG) munices ppc mpc5xxx munices
656 656
657 MVBC_P_config: unconfig 657 MVBC_P_config: unconfig
658 @mkdir -p $(obj)include 658 @mkdir -p $(obj)include
659 @mkdir -p $(obj)board/mvbc_p 659 @mkdir -p $(obj)board/mvbc_p
660 @ >$(obj)include/config.h 660 @ >$(obj)include/config.h
661 @[ -z "$(findstring MVBC_P,$@)" ] || \ 661 @[ -z "$(findstring MVBC_P,$@)" ] || \
662 { echo "#define CONFIG_MVBC_P" >>$(obj)include/config.h; } 662 { echo "#define CONFIG_MVBC_P" >>$(obj)include/config.h; }
663 @$(MKCONFIG) -n $@ -a MVBC_P ppc mpc5xxx mvbc_p matrix_vision 663 @$(MKCONFIG) -n $@ -a MVBC_P ppc mpc5xxx mvbc_p matrix_vision
664 664
665 o2dnt_config: unconfig 665 o2dnt_config: unconfig
666 @$(MKCONFIG) o2dnt ppc mpc5xxx o2dnt 666 @$(MKCONFIG) o2dnt ppc mpc5xxx o2dnt
667 667
668 pf5200_config: unconfig 668 pf5200_config: unconfig
669 @$(MKCONFIG) pf5200 ppc mpc5xxx pf5200 esd 669 @$(MKCONFIG) pf5200 ppc mpc5xxx pf5200 esd
670 670
671 PM520_config \ 671 PM520_config \
672 PM520_DDR_config \ 672 PM520_DDR_config \
673 PM520_ROMBOOT_config \ 673 PM520_ROMBOOT_config \
674 PM520_ROMBOOT_DDR_config: unconfig 674 PM520_ROMBOOT_DDR_config: unconfig
675 @mkdir -p $(obj)include 675 @mkdir -p $(obj)include
676 @[ -z "$(findstring DDR,$@)" ] || \ 676 @[ -z "$(findstring DDR,$@)" ] || \
677 { echo "#define CONFIG_MPC5200_DDR" >>$(obj)include/config.h ; \ 677 { echo "#define CONFIG_MPC5200_DDR" >>$(obj)include/config.h ; \
678 $(XECHO) "... DDR memory revision" ; \ 678 $(XECHO) "... DDR memory revision" ; \
679 } 679 }
680 @[ -z "$(findstring ROMBOOT,$@)" ] || \ 680 @[ -z "$(findstring ROMBOOT,$@)" ] || \
681 { echo "#define CONFIG_BOOT_ROM" >>$(obj)include/config.h ; \ 681 { echo "#define CONFIG_BOOT_ROM" >>$(obj)include/config.h ; \
682 $(XECHO) "... booting from 8-bit flash" ; \ 682 $(XECHO) "... booting from 8-bit flash" ; \
683 } 683 }
684 @$(MKCONFIG) -a PM520 ppc mpc5xxx pm520 684 @$(MKCONFIG) -a PM520 ppc mpc5xxx pm520
685 685
686 smmaco4_config: unconfig 686 smmaco4_config: unconfig
687 @$(MKCONFIG) -a smmaco4 ppc mpc5xxx tqm5200 tqc 687 @$(MKCONFIG) -a smmaco4 ppc mpc5xxx tqm5200 tqc
688 688
689 spieval_config: unconfig 689 spieval_config: unconfig
690 @$(MKCONFIG) -a spieval ppc mpc5xxx tqm5200 tqc 690 @$(MKCONFIG) -a spieval ppc mpc5xxx tqm5200 tqc
691 691
692 TB5200_B_config \ 692 TB5200_B_config \
693 TB5200_config: unconfig 693 TB5200_config: unconfig
694 @mkdir -p $(obj)include 694 @mkdir -p $(obj)include
695 @[ -z "$(findstring _B,$@)" ] || \ 695 @[ -z "$(findstring _B,$@)" ] || \
696 { echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \ 696 { echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \
697 $(XECHO) "... with MPC5200B processor" ; \ 697 $(XECHO) "... with MPC5200B processor" ; \
698 } 698 }
699 @$(MKCONFIG) -n $@ -a TB5200 ppc mpc5xxx tqm5200 tqc 699 @$(MKCONFIG) -n $@ -a TB5200 ppc mpc5xxx tqm5200 tqc
700 700
701 MINI5200_config \ 701 MINI5200_config \
702 EVAL5200_config \ 702 EVAL5200_config \
703 TOP5200_config: unconfig 703 TOP5200_config: unconfig
704 @mkdir -p $(obj)include 704 @mkdir -p $(obj)include
705 @ echo "#define CONFIG_$(@:_config=) 1" >$(obj)include/config.h 705 @ echo "#define CONFIG_$(@:_config=) 1" >$(obj)include/config.h
706 @$(MKCONFIG) -n $@ -a TOP5200 ppc mpc5xxx top5200 emk 706 @$(MKCONFIG) -n $@ -a TOP5200 ppc mpc5xxx top5200 emk
707 707
708 Total5100_config \ 708 Total5100_config \
709 Total5200_config \ 709 Total5200_config \
710 Total5200_lowboot_config \ 710 Total5200_lowboot_config \
711 Total5200_Rev2_config \ 711 Total5200_Rev2_config \
712 Total5200_Rev2_lowboot_config: unconfig 712 Total5200_Rev2_lowboot_config: unconfig
713 @mkdir -p $(obj)include 713 @mkdir -p $(obj)include
714 @mkdir -p $(obj)board/total5200 714 @mkdir -p $(obj)board/total5200
715 @[ -z "$(findstring 5100,$@)" ] || \ 715 @[ -z "$(findstring 5100,$@)" ] || \
716 { echo "#define CONFIG_MGT5100" >>$(obj)include/config.h ; \ 716 { echo "#define CONFIG_MGT5100" >>$(obj)include/config.h ; \
717 $(XECHO) "... with MGT5100 processor" ; \ 717 $(XECHO) "... with MGT5100 processor" ; \
718 } 718 }
719 @[ -z "$(findstring 5200,$@)" ] || \ 719 @[ -z "$(findstring 5200,$@)" ] || \
720 { echo "#define CONFIG_MPC5200" >>$(obj)include/config.h ; \ 720 { echo "#define CONFIG_MPC5200" >>$(obj)include/config.h ; \
721 $(XECHO) "... with MPC5200 processor" ; \ 721 $(XECHO) "... with MPC5200 processor" ; \
722 } 722 }
723 @[ -n "$(findstring Rev,$@)" ] || \ 723 @[ -n "$(findstring Rev,$@)" ] || \
724 { echo "#define CONFIG_TOTAL5200_REV 1" >>$(obj)include/config.h ; \ 724 { echo "#define CONFIG_TOTAL5200_REV 1" >>$(obj)include/config.h ; \
725 $(XECHO) "... revision 1 board" ; \ 725 $(XECHO) "... revision 1 board" ; \
726 } 726 }
727 @[ -z "$(findstring Rev2_,$@)" ] || \ 727 @[ -z "$(findstring Rev2_,$@)" ] || \
728 { echo "#define CONFIG_TOTAL5200_REV 2" >>$(obj)include/config.h ; \ 728 { echo "#define CONFIG_TOTAL5200_REV 2" >>$(obj)include/config.h ; \
729 $(XECHO) "... revision 2 board" ; \ 729 $(XECHO) "... revision 2 board" ; \
730 } 730 }
731 @[ -z "$(findstring lowboot_,$@)" ] || \ 731 @[ -z "$(findstring lowboot_,$@)" ] || \
732 { echo "TEXT_BASE = 0xFE000000" >$(obj)board/total5200/config.tmp ; \ 732 { echo "TEXT_BASE = 0xFE000000" >$(obj)board/total5200/config.tmp ; \
733 $(XECHO) "... with lowboot configuration" ; \ 733 $(XECHO) "... with lowboot configuration" ; \
734 } 734 }
735 @$(MKCONFIG) -a Total5200 ppc mpc5xxx total5200 735 @$(MKCONFIG) -a Total5200 ppc mpc5xxx total5200
736 736
737 cam5200_config \ 737 cam5200_config \
738 cam5200_niosflash_config \ 738 cam5200_niosflash_config \
739 fo300_config \ 739 fo300_config \
740 MiniFAP_config \ 740 MiniFAP_config \
741 TQM5200S_config \ 741 TQM5200S_config \
742 TQM5200S_HIGHBOOT_config \ 742 TQM5200S_HIGHBOOT_config \
743 TQM5200_B_config \ 743 TQM5200_B_config \
744 TQM5200_B_HIGHBOOT_config \ 744 TQM5200_B_HIGHBOOT_config \
745 TQM5200_config \ 745 TQM5200_config \
746 TQM5200_STK100_config: unconfig 746 TQM5200_STK100_config: unconfig
747 @mkdir -p $(obj)include 747 @mkdir -p $(obj)include
748 @mkdir -p $(obj)board/tqc/tqm5200 748 @mkdir -p $(obj)board/tqc/tqm5200
749 @[ -z "$(findstring cam5200,$@)" ] || \ 749 @[ -z "$(findstring cam5200,$@)" ] || \
750 { echo "#define CONFIG_CAM5200" >>$(obj)include/config.h ; \ 750 { echo "#define CONFIG_CAM5200" >>$(obj)include/config.h ; \
751 echo "#define CONFIG_TQM5200S" >>$(obj)include/config.h ; \ 751 echo "#define CONFIG_TQM5200S" >>$(obj)include/config.h ; \
752 echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \ 752 echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \
753 $(XECHO) "... TQM5200S on Cam5200" ; \ 753 $(XECHO) "... TQM5200S on Cam5200" ; \
754 } 754 }
755 @[ -z "$(findstring niosflash,$@)" ] || \ 755 @[ -z "$(findstring niosflash,$@)" ] || \
756 { echo "#define CONFIG_CAM5200_NIOSFLASH" >>$(obj)include/config.h ; \ 756 { echo "#define CONFIG_CAM5200_NIOSFLASH" >>$(obj)include/config.h ; \
757 $(XECHO) "... with NIOS flash driver" ; \ 757 $(XECHO) "... with NIOS flash driver" ; \
758 } 758 }
759 @[ -z "$(findstring fo300,$@)" ] || \ 759 @[ -z "$(findstring fo300,$@)" ] || \
760 { echo "#define CONFIG_FO300" >>$(obj)include/config.h ; \ 760 { echo "#define CONFIG_FO300" >>$(obj)include/config.h ; \
761 $(XECHO) "... TQM5200 on FO300" ; \ 761 $(XECHO) "... TQM5200 on FO300" ; \
762 } 762 }
763 @[ -z "$(findstring MiniFAP,$@)" ] || \ 763 @[ -z "$(findstring MiniFAP,$@)" ] || \
764 { echo "#define CONFIG_MINIFAP" >>$(obj)include/config.h ; \ 764 { echo "#define CONFIG_MINIFAP" >>$(obj)include/config.h ; \
765 $(XECHO) "... TQM5200_AC on MiniFAP" ; \ 765 $(XECHO) "... TQM5200_AC on MiniFAP" ; \
766 } 766 }
767 @[ -z "$(findstring STK100,$@)" ] || \ 767 @[ -z "$(findstring STK100,$@)" ] || \
768 { echo "#define CONFIG_STK52XX_REV100" >>$(obj)include/config.h ; \ 768 { echo "#define CONFIG_STK52XX_REV100" >>$(obj)include/config.h ; \
769 $(XECHO) "... on a STK52XX.100 base board" ; \ 769 $(XECHO) "... on a STK52XX.100 base board" ; \
770 } 770 }
771 @[ -z "$(findstring TQM5200_B,$@)" ] || \ 771 @[ -z "$(findstring TQM5200_B,$@)" ] || \
772 { echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \ 772 { echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \
773 } 773 }
774 @[ -z "$(findstring TQM5200S,$@)" ] || \ 774 @[ -z "$(findstring TQM5200S,$@)" ] || \
775 { echo "#define CONFIG_TQM5200S" >>$(obj)include/config.h ; \ 775 { echo "#define CONFIG_TQM5200S" >>$(obj)include/config.h ; \
776 echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \ 776 echo "#define CONFIG_TQM5200_B" >>$(obj)include/config.h ; \
777 } 777 }
778 @[ -z "$(findstring HIGHBOOT,$@)" ] || \ 778 @[ -z "$(findstring HIGHBOOT,$@)" ] || \
779 { echo "TEXT_BASE = 0xFFF00000" >$(obj)board/tqm5200/config.tmp ; \ 779 { echo "TEXT_BASE = 0xFFF00000" >$(obj)board/tqm5200/config.tmp ; \
780 } 780 }
781 @$(MKCONFIG) -n $@ -a TQM5200 ppc mpc5xxx tqm5200 tqc 781 @$(MKCONFIG) -n $@ -a TQM5200 ppc mpc5xxx tqm5200 tqc
782 782
783 uc101_config: unconfig 783 uc101_config: unconfig
784 @$(MKCONFIG) uc101 ppc mpc5xxx uc101 784 @$(MKCONFIG) uc101 ppc mpc5xxx uc101
785 785
786 v38b_config: unconfig 786 v38b_config: unconfig
787 @$(MKCONFIG) -a v38b ppc mpc5xxx v38b 787 @$(MKCONFIG) -a v38b ppc mpc5xxx v38b
788 788
789 ######################################################################### 789 #########################################################################
790 ## MPC512x Systems 790 ## MPC512x Systems
791 ######################################################################### 791 #########################################################################
792 792
793 ads5121_config \ 793 ads5121_config \
794 ads5121_rev2_config \ 794 ads5121_rev2_config \
795 : unconfig 795 : unconfig
796 @mkdir -p $(obj)include 796 @mkdir -p $(obj)include
797 @if [ "$(findstring rev2,$@)" ] ; then \ 797 @if [ "$(findstring rev2,$@)" ] ; then \
798 echo "#define CONFIG_ADS5121_REV2 1" > $(obj)include/config.h; \ 798 echo "#define CONFIG_ADS5121_REV2 1" > $(obj)include/config.h; \
799 fi 799 fi
800 @$(MKCONFIG) -a ads5121 ppc mpc512x ads5121 800 @$(MKCONFIG) -a ads5121 ppc mpc512x ads5121
801 801
802 802
803 ######################################################################### 803 #########################################################################
804 ## MPC8xx Systems 804 ## MPC8xx Systems
805 ######################################################################### 805 #########################################################################
806 806
807 Adder_config \ 807 Adder_config \
808 Adder87x_config \ 808 Adder87x_config \
809 AdderII_config \ 809 AdderII_config \
810 : unconfig 810 : unconfig
811 @mkdir -p $(obj)include 811 @mkdir -p $(obj)include
812 $(if $(findstring AdderII,$@), \ 812 $(if $(findstring AdderII,$@), \
813 @echo "#define CONFIG_MPC852T" > $(obj)include/config.h) 813 @echo "#define CONFIG_MPC852T" > $(obj)include/config.h)
814 @$(MKCONFIG) -a Adder ppc mpc8xx adder 814 @$(MKCONFIG) -a Adder ppc mpc8xx adder
815 815
816 AdderUSB_config: unconfig 816 AdderUSB_config: unconfig
817 @$(MKCONFIG) -a AdderUSB ppc mpc8xx adder 817 @$(MKCONFIG) -a AdderUSB ppc mpc8xx adder
818 818
819 ADS860_config \ 819 ADS860_config \
820 FADS823_config \ 820 FADS823_config \
821 FADS850SAR_config \ 821 FADS850SAR_config \
822 MPC86xADS_config \ 822 MPC86xADS_config \
823 MPC885ADS_config \ 823 MPC885ADS_config \
824 FADS860T_config: unconfig 824 FADS860T_config: unconfig
825 @$(MKCONFIG) $(@:_config=) ppc mpc8xx fads 825 @$(MKCONFIG) $(@:_config=) ppc mpc8xx fads
826 826
827 AMX860_config : unconfig 827 AMX860_config : unconfig
828 @$(MKCONFIG) $(@:_config=) ppc mpc8xx amx860 westel 828 @$(MKCONFIG) $(@:_config=) ppc mpc8xx amx860 westel
829 829
830 c2mon_config: unconfig 830 c2mon_config: unconfig
831 @$(MKCONFIG) $(@:_config=) ppc mpc8xx c2mon 831 @$(MKCONFIG) $(@:_config=) ppc mpc8xx c2mon
832 832
833 CCM_config: unconfig 833 CCM_config: unconfig
834 @$(MKCONFIG) $(@:_config=) ppc mpc8xx CCM siemens 834 @$(MKCONFIG) $(@:_config=) ppc mpc8xx CCM siemens
835 835
836 cogent_mpc8xx_config: unconfig 836 cogent_mpc8xx_config: unconfig
837 @$(MKCONFIG) $(@:_config=) ppc mpc8xx cogent 837 @$(MKCONFIG) $(@:_config=) ppc mpc8xx cogent
838 838
839 ELPT860_config: unconfig 839 ELPT860_config: unconfig
840 @$(MKCONFIG) $(@:_config=) ppc mpc8xx elpt860 LEOX 840 @$(MKCONFIG) $(@:_config=) ppc mpc8xx elpt860 LEOX
841 841
842 EP88x_config: unconfig 842 EP88x_config: unconfig
843 @$(MKCONFIG) $(@:_config=) ppc mpc8xx ep88x 843 @$(MKCONFIG) $(@:_config=) ppc mpc8xx ep88x
844 844
845 ESTEEM192E_config: unconfig 845 ESTEEM192E_config: unconfig
846 @$(MKCONFIG) $(@:_config=) ppc mpc8xx esteem192e 846 @$(MKCONFIG) $(@:_config=) ppc mpc8xx esteem192e
847 847
848 ETX094_config : unconfig 848 ETX094_config : unconfig
849 @$(MKCONFIG) $(@:_config=) ppc mpc8xx etx094 849 @$(MKCONFIG) $(@:_config=) ppc mpc8xx etx094
850 850
851 FLAGADM_config: unconfig 851 FLAGADM_config: unconfig
852 @$(MKCONFIG) $(@:_config=) ppc mpc8xx flagadm 852 @$(MKCONFIG) $(@:_config=) ppc mpc8xx flagadm
853 853
854 xtract_GEN860T = $(subst _SC,,$(subst _config,,$1)) 854 xtract_GEN860T = $(subst _SC,,$(subst _config,,$1))
855 855
856 GEN860T_SC_config \ 856 GEN860T_SC_config \
857 GEN860T_config: unconfig 857 GEN860T_config: unconfig
858 @mkdir -p $(obj)include 858 @mkdir -p $(obj)include
859 @[ -z "$(findstring _SC,$@)" ] || \ 859 @[ -z "$(findstring _SC,$@)" ] || \
860 { echo "#define CONFIG_SC" >>$(obj)include/config.h ; \ 860 { echo "#define CONFIG_SC" >>$(obj)include/config.h ; \
861 $(XECHO) "With reduced H/W feature set (SC)..." ; \ 861 $(XECHO) "With reduced H/W feature set (SC)..." ; \
862 } 862 }
863 @$(MKCONFIG) -a $(call xtract_GEN860T,$@) ppc mpc8xx gen860t 863 @$(MKCONFIG) -a $(call xtract_GEN860T,$@) ppc mpc8xx gen860t
864 864
865 GENIETV_config: unconfig 865 GENIETV_config: unconfig
866 @$(MKCONFIG) $(@:_config=) ppc mpc8xx genietv 866 @$(MKCONFIG) $(@:_config=) ppc mpc8xx genietv
867 867
868 GTH_config: unconfig 868 GTH_config: unconfig
869 @$(MKCONFIG) $(@:_config=) ppc mpc8xx gth 869 @$(MKCONFIG) $(@:_config=) ppc mpc8xx gth
870 870
871 hermes_config : unconfig 871 hermes_config : unconfig
872 @$(MKCONFIG) $(@:_config=) ppc mpc8xx hermes 872 @$(MKCONFIG) $(@:_config=) ppc mpc8xx hermes
873 873
874 HMI10_config : unconfig 874 HMI10_config : unconfig
875 @$(MKCONFIG) $(@:_config=) ppc mpc8xx tqm8xx tqc 875 @$(MKCONFIG) $(@:_config=) ppc mpc8xx tqm8xx tqc
876 876
877 IAD210_config: unconfig 877 IAD210_config: unconfig
878 @$(MKCONFIG) $(@:_config=) ppc mpc8xx IAD210 siemens 878 @$(MKCONFIG) $(@:_config=) ppc mpc8xx IAD210 siemens
879 879
880 xtract_ICU862 = $(subst _100MHz,,$(subst _config,,$1)) 880 xtract_ICU862 = $(subst _100MHz,,$(subst _config,,$1))
881 881
882 ICU862_100MHz_config \ 882 ICU862_100MHz_config \
883 ICU862_config: unconfig 883 ICU862_config: unconfig
884 @mkdir -p $(obj)include 884 @mkdir -p $(obj)include
885 @[ -z "$(findstring _100MHz,$@)" ] || \ 885 @[ -z "$(findstring _100MHz,$@)" ] || \
886 { echo "#define CONFIG_100MHz" >>$(obj)include/config.h ; \ 886 { echo "#define CONFIG_100MHz" >>$(obj)include/config.h ; \
887 $(XECHO) "... with 100MHz system clock" ; \ 887 $(XECHO) "... with 100MHz system clock" ; \
888 } 888 }
889 @$(MKCONFIG) -a $(call xtract_ICU862,$@) ppc mpc8xx icu862 889 @$(MKCONFIG) -a $(call xtract_ICU862,$@) ppc mpc8xx icu862
890 890
891 IP860_config : unconfig 891 IP860_config : unconfig
892 @$(MKCONFIG) $(@:_config=) ppc mpc8xx ip860 892 @$(MKCONFIG) $(@:_config=) ppc mpc8xx ip860
893 893
894 IVML24_256_config \ 894 IVML24_256_config \
895 IVML24_128_config \ 895 IVML24_128_config \
896 IVML24_config: unconfig 896 IVML24_config: unconfig
897 @mkdir -p $(obj)include 897 @mkdir -p $(obj)include
898 @[ -z "$(findstring IVML24_config,$@)" ] || \ 898 @[ -z "$(findstring IVML24_config,$@)" ] || \
899 { echo "#define CONFIG_IVML24_16M" >>$(obj)include/config.h ; \ 899 { echo "#define CONFIG_IVML24_16M" >>$(obj)include/config.h ; \
900 } 900 }
901 @[ -z "$(findstring IVML24_128_config,$@)" ] || \ 901 @[ -z "$(findstring IVML24_128_config,$@)" ] || \
902 { echo "#define CONFIG_IVML24_32M" >>$(obj)include/config.h ; \ 902 { echo "#define CONFIG_IVML24_32M" >>$(obj)include/config.h ; \
903 } 903 }
904 @[ -z "$(findstring IVML24_256_config,$@)" ] || \ 904 @[ -z "$(findstring IVML24_256_config,$@)" ] || \
905 { echo "#define CONFIG_IVML24_64M" >>$(obj)include/config.h ; \ 905 { echo "#define CONFIG_IVML24_64M" >>$(obj)include/config.h ; \
906 } 906 }
907 @$(MKCONFIG) -a IVML24 ppc mpc8xx ivm 907 @$(MKCONFIG) -a IVML24 ppc mpc8xx ivm
908 908
909 IVMS8_256_config \ 909 IVMS8_256_config \
910 IVMS8_128_config \ 910 IVMS8_128_config \
911 IVMS8_config: unconfig 911 IVMS8_config: unconfig
912 @mkdir -p $(obj)include 912 @mkdir -p $(obj)include
913 @[ -z "$(findstring IVMS8_config,$@)" ] || \ 913 @[ -z "$(findstring IVMS8_config,$@)" ] || \
914 { echo "#define CONFIG_IVMS8_16M" >>$(obj)include/config.h ; \ 914 { echo "#define CONFIG_IVMS8_16M" >>$(obj)include/config.h ; \
915 } 915 }
916 @[ -z "$(findstring IVMS8_128_config,$@)" ] || \ 916 @[ -z "$(findstring IVMS8_128_config,$@)" ] || \
917 { echo "#define CONFIG_IVMS8_32M" >>$(obj)include/config.h ; \ 917 { echo "#define CONFIG_IVMS8_32M" >>$(obj)include/config.h ; \
918 } 918 }
919 @[ -z "$(findstring IVMS8_256_config,$@)" ] || \ 919 @[ -z "$(findstring IVMS8_256_config,$@)" ] || \
920 { echo "#define CONFIG_IVMS8_64M" >>$(obj)include/config.h ; \ 920 { echo "#define CONFIG_IVMS8_64M" >>$(obj)include/config.h ; \
921 } 921 }
922 @$(MKCONFIG) -a IVMS8 ppc mpc8xx ivm 922 @$(MKCONFIG) -a IVMS8 ppc mpc8xx ivm
923 923
924 KUP4K_config : unconfig 924 KUP4K_config : unconfig
925 @$(MKCONFIG) $(@:_config=) ppc mpc8xx kup4k kup 925 @$(MKCONFIG) $(@:_config=) ppc mpc8xx kup4k kup
926 926
927 KUP4X_config : unconfig 927 KUP4X_config : unconfig
928 @$(MKCONFIG) $(@:_config=) ppc mpc8xx kup4x kup 928 @$(MKCONFIG) $(@:_config=) ppc mpc8xx kup4x kup
929 929
930 LANTEC_config : unconfig 930 LANTEC_config : unconfig
931 @$(MKCONFIG) $(@:_config=) ppc mpc8xx lantec 931 @$(MKCONFIG) $(@:_config=) ppc mpc8xx lantec
932 932
933 lwmon_config: unconfig 933 lwmon_config: unconfig
934 @$(MKCONFIG) $(@:_config=) ppc mpc8xx lwmon 934 @$(MKCONFIG) $(@:_config=) ppc mpc8xx lwmon
935 935
936 MBX_config \ 936 MBX_config \
937 MBX860T_config: unconfig 937 MBX860T_config: unconfig
938 @$(MKCONFIG) $(@:_config=) ppc mpc8xx mbx8xx 938 @$(MKCONFIG) $(@:_config=) ppc mpc8xx mbx8xx
939 939
940 mgsuvd_config: unconfig 940 mgsuvd_config: unconfig
941 @$(MKCONFIG) $(@:_config=) ppc mpc8xx mgsuvd keymile 941 @$(MKCONFIG) $(@:_config=) ppc mpc8xx mgsuvd keymile
942 942
943 MHPC_config: unconfig 943 MHPC_config: unconfig
944 @$(MKCONFIG) $(@:_config=) ppc mpc8xx mhpc eltec 944 @$(MKCONFIG) $(@:_config=) ppc mpc8xx mhpc eltec
945 945
946 xtract_NETVIA = $(subst _V2,,$(subst _config,,$1)) 946 xtract_NETVIA = $(subst _V2,,$(subst _config,,$1))
947 947
948 NETVIA_V2_config \ 948 NETVIA_V2_config \
949 NETVIA_config: unconfig 949 NETVIA_config: unconfig
950 @mkdir -p $(obj)include 950 @mkdir -p $(obj)include
951 @[ -z "$(findstring NETVIA_config,$@)" ] || \ 951 @[ -z "$(findstring NETVIA_config,$@)" ] || \
952 { echo "#define CONFIG_NETVIA_VERSION 1" >>$(obj)include/config.h ; \ 952 { echo "#define CONFIG_NETVIA_VERSION 1" >>$(obj)include/config.h ; \
953 $(XECHO) "... Version 1" ; \ 953 $(XECHO) "... Version 1" ; \
954 } 954 }
955 @[ -z "$(findstring NETVIA_V2_config,$@)" ] || \ 955 @[ -z "$(findstring NETVIA_V2_config,$@)" ] || \
956 { echo "#define CONFIG_NETVIA_VERSION 2" >>$(obj)include/config.h ; \ 956 { echo "#define CONFIG_NETVIA_VERSION 2" >>$(obj)include/config.h ; \
957 $(XECHO) "... Version 2" ; \ 957 $(XECHO) "... Version 2" ; \
958 } 958 }
959 @$(MKCONFIG) -a $(call xtract_NETVIA,$@) ppc mpc8xx netvia 959 @$(MKCONFIG) -a $(call xtract_NETVIA,$@) ppc mpc8xx netvia
960 960
961 xtract_NETPHONE = $(subst _V2,,$(subst _config,,$1)) 961 xtract_NETPHONE = $(subst _V2,,$(subst _config,,$1))
962 962
963 NETPHONE_V2_config \ 963 NETPHONE_V2_config \
964 NETPHONE_config: unconfig 964 NETPHONE_config: unconfig
965 @mkdir -p $(obj)include 965 @mkdir -p $(obj)include
966 @[ -z "$(findstring NETPHONE_config,$@)" ] || \ 966 @[ -z "$(findstring NETPHONE_config,$@)" ] || \
967 { echo "#define CONFIG_NETPHONE_VERSION 1" >>$(obj)include/config.h ; \ 967 { echo "#define CONFIG_NETPHONE_VERSION 1" >>$(obj)include/config.h ; \
968 } 968 }
969 @[ -z "$(findstring NETPHONE_V2_config,$@)" ] || \ 969 @[ -z "$(findstring NETPHONE_V2_config,$@)" ] || \
970 { echo "#define CONFIG_NETPHONE_VERSION 2" >>$(obj)include/config.h ; \ 970 { echo "#define CONFIG_NETPHONE_VERSION 2" >>$(obj)include/config.h ; \
971 } 971 }
972 @$(MKCONFIG) -a $(call xtract_NETPHONE,$@) ppc mpc8xx netphone 972 @$(MKCONFIG) -a $(call xtract_NETPHONE,$@) ppc mpc8xx netphone
973 973
974 xtract_NETTA = $(subst _SWAPHOOK,,$(subst _6412,,$(subst _ISDN,,$(subst _config,,$1)))) 974 xtract_NETTA = $(subst _SWAPHOOK,,$(subst _6412,,$(subst _ISDN,,$(subst _config,,$1))))
975 975
976 NETTA_ISDN_6412_SWAPHOOK_config \ 976 NETTA_ISDN_6412_SWAPHOOK_config \
977 NETTA_ISDN_SWAPHOOK_config \ 977 NETTA_ISDN_SWAPHOOK_config \
978 NETTA_6412_SWAPHOOK_config \ 978 NETTA_6412_SWAPHOOK_config \
979 NETTA_SWAPHOOK_config \ 979 NETTA_SWAPHOOK_config \
980 NETTA_ISDN_6412_config \ 980 NETTA_ISDN_6412_config \
981 NETTA_ISDN_config \ 981 NETTA_ISDN_config \
982 NETTA_6412_config \ 982 NETTA_6412_config \
983 NETTA_config: unconfig 983 NETTA_config: unconfig
984 @mkdir -p $(obj)include 984 @mkdir -p $(obj)include
985 @[ -z "$(findstring ISDN_,$@)" ] || \ 985 @[ -z "$(findstring ISDN_,$@)" ] || \
986 { echo "#define CONFIG_NETTA_ISDN 1" >>$(obj)include/config.h ; \ 986 { echo "#define CONFIG_NETTA_ISDN 1" >>$(obj)include/config.h ; \
987 } 987 }
988 @[ -n "$(findstring ISDN_,$@)" ] || \ 988 @[ -n "$(findstring ISDN_,$@)" ] || \
989 { echo "#undef CONFIG_NETTA_ISDN" >>$(obj)include/config.h ; \ 989 { echo "#undef CONFIG_NETTA_ISDN" >>$(obj)include/config.h ; \
990 } 990 }
991 @[ -z "$(findstring 6412_,$@)" ] || \ 991 @[ -z "$(findstring 6412_,$@)" ] || \
992 { echo "#define CONFIG_NETTA_6412 1" >>$(obj)include/config.h ; \ 992 { echo "#define CONFIG_NETTA_6412 1" >>$(obj)include/config.h ; \
993 } 993 }
994 @[ -n "$(findstring 6412_,$@)" ] || \ 994 @[ -n "$(findstring 6412_,$@)" ] || \
995 { echo "#undef CONFIG_NETTA_6412" >>$(obj)include/config.h ; \ 995 { echo "#undef CONFIG_NETTA_6412" >>$(obj)include/config.h ; \
996 } 996 }
997 @[ -z "$(findstring SWAPHOOK_,$@)" ] || \ 997 @[ -z "$(findstring SWAPHOOK_,$@)" ] || \
998 { echo "#define CONFIG_NETTA_SWAPHOOK 1" >>$(obj)include/config.h ; \ 998 { echo "#define CONFIG_NETTA_SWAPHOOK 1" >>$(obj)include/config.h ; \
999 } 999 }
1000 @[ -n "$(findstring SWAPHOOK_,$@)" ] || \ 1000 @[ -n "$(findstring SWAPHOOK_,$@)" ] || \
1001 { echo "#undef CONFIG_NETTA_SWAPHOOK" >>$(obj)include/config.h ; \ 1001 { echo "#undef CONFIG_NETTA_SWAPHOOK" >>$(obj)include/config.h ; \
1002 } 1002 }
1003 @$(MKCONFIG) -a $(call xtract_NETTA,$@) ppc mpc8xx netta 1003 @$(MKCONFIG) -a $(call xtract_NETTA,$@) ppc mpc8xx netta
1004 1004
1005 xtract_NETTA2 = $(subst _V2,,$(subst _config,,$1)) 1005 xtract_NETTA2 = $(subst _V2,,$(subst _config,,$1))
1006 1006
1007 NETTA2_V2_config \ 1007 NETTA2_V2_config \
1008 NETTA2_config: unconfig 1008 NETTA2_config: unconfig
1009 @mkdir -p $(obj)include 1009 @mkdir -p $(obj)include
1010 @[ -z "$(findstring NETTA2_config,$@)" ] || \ 1010 @[ -z "$(findstring NETTA2_config,$@)" ] || \
1011 { echo "#define CONFIG_NETTA2_VERSION 1" >>$(obj)include/config.h ; \ 1011 { echo "#define CONFIG_NETTA2_VERSION 1" >>$(obj)include/config.h ; \
1012 } 1012 }
1013 @[ -z "$(findstring NETTA2_V2_config,$@)" ] || \ 1013 @[ -z "$(findstring NETTA2_V2_config,$@)" ] || \
1014 { echo "#define CONFIG_NETTA2_VERSION 2" >>$(obj)include/config.h ; \ 1014 { echo "#define CONFIG_NETTA2_VERSION 2" >>$(obj)include/config.h ; \
1015 } 1015 }
1016 @$(MKCONFIG) -a $(call xtract_NETTA2,$@) ppc mpc8xx netta2 1016 @$(MKCONFIG) -a $(call xtract_NETTA2,$@) ppc mpc8xx netta2
1017 1017
1018 NC650_Rev1_config \ 1018 NC650_Rev1_config \
1019 NC650_Rev2_config \ 1019 NC650_Rev2_config \
1020 CP850_config: unconfig 1020 CP850_config: unconfig
1021 @mkdir -p $(obj)include 1021 @mkdir -p $(obj)include
1022 @[ -z "$(findstring CP850,$@)" ] || \ 1022 @[ -z "$(findstring CP850,$@)" ] || \
1023 { echo "#define CONFIG_CP850 1" >>$(obj)include/config.h ; \ 1023 { echo "#define CONFIG_CP850 1" >>$(obj)include/config.h ; \
1024 echo "#define CONFIG_IDS852_REV2 1" >>$(obj)include/config.h ; \ 1024 echo "#define CONFIG_IDS852_REV2 1" >>$(obj)include/config.h ; \
1025 } 1025 }
1026 @[ -z "$(findstring Rev1,$@)" ] || \ 1026 @[ -z "$(findstring Rev1,$@)" ] || \
1027 { echo "#define CONFIG_IDS852_REV1 1" >>$(obj)include/config.h ; \ 1027 { echo "#define CONFIG_IDS852_REV1 1" >>$(obj)include/config.h ; \
1028 } 1028 }
1029 @[ -z "$(findstring Rev2,$@)" ] || \ 1029 @[ -z "$(findstring Rev2,$@)" ] || \
1030 { echo "#define CONFIG_IDS852_REV2 1" >>$(obj)include/config.h ; \ 1030 { echo "#define CONFIG_IDS852_REV2 1" >>$(obj)include/config.h ; \
1031 } 1031 }
1032 @$(MKCONFIG) -a NC650 ppc mpc8xx nc650 1032 @$(MKCONFIG) -a NC650 ppc mpc8xx nc650
1033 1033
1034 NX823_config: unconfig 1034 NX823_config: unconfig
1035 @$(MKCONFIG) $(@:_config=) ppc mpc8xx nx823 1035 @$(MKCONFIG) $(@:_config=) ppc mpc8xx nx823
1036 1036
1037 pcu_e_config: unconfig 1037 pcu_e_config: unconfig
1038 @$(MKCONFIG) $(@:_config=) ppc mpc8xx pcu_e siemens 1038 @$(MKCONFIG) $(@:_config=) ppc mpc8xx pcu_e siemens
1039 1039
1040 QS850_config: unconfig 1040 QS850_config: unconfig
1041 @$(MKCONFIG) $(@:_config=) ppc mpc8xx qs850 snmc 1041 @$(MKCONFIG) $(@:_config=) ppc mpc8xx qs850 snmc
1042 1042
1043 QS823_config: unconfig 1043 QS823_config: unconfig
1044 @$(MKCONFIG) $(@:_config=) ppc mpc8xx qs850 snmc 1044 @$(MKCONFIG) $(@:_config=) ppc mpc8xx qs850 snmc
1045 1045
1046 QS860T_config: unconfig 1046 QS860T_config: unconfig
1047 @$(MKCONFIG) $(@:_config=) ppc mpc8xx qs860t snmc 1047 @$(MKCONFIG) $(@:_config=) ppc mpc8xx qs860t snmc
1048 1048
1049 quantum_config: unconfig 1049 quantum_config: unconfig
1050 @$(MKCONFIG) $(@:_config=) ppc mpc8xx quantum 1050 @$(MKCONFIG) $(@:_config=) ppc mpc8xx quantum
1051 1051
1052 R360MPI_config: unconfig 1052 R360MPI_config: unconfig
1053 @$(MKCONFIG) $(@:_config=) ppc mpc8xx r360mpi 1053 @$(MKCONFIG) $(@:_config=) ppc mpc8xx r360mpi
1054 1054
1055 RBC823_config: unconfig 1055 RBC823_config: unconfig
1056 @$(MKCONFIG) $(@:_config=) ppc mpc8xx rbc823 1056 @$(MKCONFIG) $(@:_config=) ppc mpc8xx rbc823
1057 1057
1058 RPXClassic_config: unconfig 1058 RPXClassic_config: unconfig
1059 @$(MKCONFIG) $(@:_config=) ppc mpc8xx RPXClassic 1059 @$(MKCONFIG) $(@:_config=) ppc mpc8xx RPXClassic
1060 1060
1061 RPXlite_config: unconfig 1061 RPXlite_config: unconfig
1062 @$(MKCONFIG) $(@:_config=) ppc mpc8xx RPXlite 1062 @$(MKCONFIG) $(@:_config=) ppc mpc8xx RPXlite
1063 1063
1064 RPXlite_DW_64_config \ 1064 RPXlite_DW_64_config \
1065 RPXlite_DW_LCD_config \ 1065 RPXlite_DW_LCD_config \
1066 RPXlite_DW_64_LCD_config \ 1066 RPXlite_DW_64_LCD_config \
1067 RPXlite_DW_NVRAM_config \ 1067 RPXlite_DW_NVRAM_config \
1068 RPXlite_DW_NVRAM_64_config \ 1068 RPXlite_DW_NVRAM_64_config \
1069 RPXlite_DW_NVRAM_LCD_config \ 1069 RPXlite_DW_NVRAM_LCD_config \
1070 RPXlite_DW_NVRAM_64_LCD_config \ 1070 RPXlite_DW_NVRAM_64_LCD_config \
1071 RPXlite_DW_config: unconfig 1071 RPXlite_DW_config: unconfig
1072 @mkdir -p $(obj)include 1072 @mkdir -p $(obj)include
1073 @[ -z "$(findstring _64,$@)" ] || \ 1073 @[ -z "$(findstring _64,$@)" ] || \
1074 { echo "#define RPXlite_64MHz" >>$(obj)include/config.h ; \ 1074 { echo "#define RPXlite_64MHz" >>$(obj)include/config.h ; \
1075 $(XECHO) "... with 64MHz system clock ..."; \ 1075 $(XECHO) "... with 64MHz system clock ..."; \
1076 } 1076 }
1077 @[ -z "$(findstring _LCD,$@)" ] || \ 1077 @[ -z "$(findstring _LCD,$@)" ] || \
1078 { echo "#define CONFIG_LCD" >>$(obj)include/config.h ; \ 1078 { echo "#define CONFIG_LCD" >>$(obj)include/config.h ; \
1079 echo "#define CONFIG_NEC_NL6448BC20" >>$(obj)include/config.h ; \ 1079 echo "#define CONFIG_NEC_NL6448BC20" >>$(obj)include/config.h ; \
1080 $(XECHO) "... with LCD display ..."; \ 1080 $(XECHO) "... with LCD display ..."; \
1081 } 1081 }
1082 @[ -z "$(findstring _NVRAM,$@)" ] || \ 1082 @[ -z "$(findstring _NVRAM,$@)" ] || \
1083 { echo "#define CONFIG_ENV_IS_IN_NVRAM" >>$(obj)include/config.h ; \ 1083 { echo "#define CONFIG_ENV_IS_IN_NVRAM" >>$(obj)include/config.h ; \
1084 $(XECHO) "... with ENV in NVRAM ..."; \ 1084 $(XECHO) "... with ENV in NVRAM ..."; \
1085 } 1085 }
1086 @$(MKCONFIG) -a RPXlite_DW ppc mpc8xx RPXlite_dw 1086 @$(MKCONFIG) -a RPXlite_DW ppc mpc8xx RPXlite_dw
1087 1087
1088 rmu_config: unconfig 1088 rmu_config: unconfig
1089 @$(MKCONFIG) $(@:_config=) ppc mpc8xx rmu 1089 @$(MKCONFIG) $(@:_config=) ppc mpc8xx rmu
1090 1090
1091 RRvision_config: unconfig 1091 RRvision_config: unconfig
1092 @$(MKCONFIG) $(@:_config=) ppc mpc8xx RRvision 1092 @$(MKCONFIG) $(@:_config=) ppc mpc8xx RRvision
1093 1093
1094 RRvision_LCD_config: unconfig 1094 RRvision_LCD_config: unconfig
1095 @mkdir -p $(obj)include 1095 @mkdir -p $(obj)include
1096 @echo "#define CONFIG_LCD" >$(obj)include/config.h 1096 @echo "#define CONFIG_LCD" >$(obj)include/config.h
1097 @echo "#define CONFIG_SHARP_LQ104V7DS01" >>$(obj)include/config.h 1097 @echo "#define CONFIG_SHARP_LQ104V7DS01" >>$(obj)include/config.h
1098 @$(MKCONFIG) -a RRvision ppc mpc8xx RRvision 1098 @$(MKCONFIG) -a RRvision ppc mpc8xx RRvision
1099 1099
1100 SM850_config : unconfig 1100 SM850_config : unconfig
1101 @$(MKCONFIG) $(@:_config=) ppc mpc8xx tqm8xx tqc 1101 @$(MKCONFIG) $(@:_config=) ppc mpc8xx tqm8xx tqc
1102 1102
1103 spc1920_config: unconfig 1103 spc1920_config: unconfig
1104 @$(MKCONFIG) $(@:_config=) ppc mpc8xx spc1920 1104 @$(MKCONFIG) $(@:_config=) ppc mpc8xx spc1920
1105 1105
1106 SPD823TS_config: unconfig 1106 SPD823TS_config: unconfig
1107 @$(MKCONFIG) $(@:_config=) ppc mpc8xx spd8xx 1107 @$(MKCONFIG) $(@:_config=) ppc mpc8xx spd8xx
1108 1108
1109 stxxtc_config: unconfig 1109 stxxtc_config: unconfig
1110 @$(MKCONFIG) $(@:_config=) ppc mpc8xx stxxtc 1110 @$(MKCONFIG) $(@:_config=) ppc mpc8xx stxxtc
1111 1111
1112 svm_sc8xx_config: unconfig 1112 svm_sc8xx_config: unconfig
1113 @$(MKCONFIG) $(@:_config=) ppc mpc8xx svm_sc8xx 1113 @$(MKCONFIG) $(@:_config=) ppc mpc8xx svm_sc8xx
1114 1114
1115 SXNI855T_config: unconfig 1115 SXNI855T_config: unconfig
1116 @$(MKCONFIG) $(@:_config=) ppc mpc8xx sixnet 1116 @$(MKCONFIG) $(@:_config=) ppc mpc8xx sixnet
1117 1117
1118 # EMK MPC8xx based modules 1118 # EMK MPC8xx based modules
1119 TOP860_config: unconfig 1119 TOP860_config: unconfig
1120 @$(MKCONFIG) $(@:_config=) ppc mpc8xx top860 emk 1120 @$(MKCONFIG) $(@:_config=) ppc mpc8xx top860 emk
1121 1121
1122 # Play some tricks for configuration selection 1122 # Play some tricks for configuration selection
1123 # Only 855 and 860 boards may come with FEC 1123 # Only 855 and 860 boards may come with FEC
1124 # and only 823 boards may have LCD support 1124 # and only 823 boards may have LCD support
1125 xtract_8xx = $(subst _LCD,,$(subst _config,,$1)) 1125 xtract_8xx = $(subst _LCD,,$(subst _config,,$1))
1126 1126
1127 FPS850L_config \ 1127 FPS850L_config \
1128 FPS860L_config \ 1128 FPS860L_config \
1129 NSCU_config \ 1129 NSCU_config \
1130 TQM823L_config \ 1130 TQM823L_config \
1131 TQM823L_LCD_config \ 1131 TQM823L_LCD_config \
1132 TQM850L_config \ 1132 TQM850L_config \
1133 TQM855L_config \ 1133 TQM855L_config \
1134 TQM860L_config \ 1134 TQM860L_config \
1135 TQM862L_config \ 1135 TQM862L_config \
1136 TQM823M_config \ 1136 TQM823M_config \
1137 TQM850M_config \ 1137 TQM850M_config \
1138 TQM855M_config \ 1138 TQM855M_config \
1139 TQM860M_config \ 1139 TQM860M_config \
1140 TQM862M_config \ 1140 TQM862M_config \
1141 TQM866M_config \ 1141 TQM866M_config \
1142 TQM885D_config \ 1142 TQM885D_config \
1143 TK885D_config \ 1143 TK885D_config \
1144 virtlab2_config: unconfig 1144 virtlab2_config: unconfig
1145 @mkdir -p $(obj)include 1145 @mkdir -p $(obj)include
1146 @[ -z "$(findstring _LCD,$@)" ] || \ 1146 @[ -z "$(findstring _LCD,$@)" ] || \
1147 { echo "#define CONFIG_LCD" >>$(obj)include/config.h ; \ 1147 { echo "#define CONFIG_LCD" >>$(obj)include/config.h ; \
1148 echo "#define CONFIG_NEC_NL6448BC20" >>$(obj)include/config.h ; \ 1148 echo "#define CONFIG_NEC_NL6448BC20" >>$(obj)include/config.h ; \
1149 $(XECHO) "... with LCD display" ; \ 1149 $(XECHO) "... with LCD display" ; \
1150 } 1150 }
1151 @$(MKCONFIG) -a $(call xtract_8xx,$@) ppc mpc8xx tqm8xx tqc 1151 @$(MKCONFIG) -a $(call xtract_8xx,$@) ppc mpc8xx tqm8xx tqc
1152 1152
1153 TTTech_config: unconfig 1153 TTTech_config: unconfig
1154 @mkdir -p $(obj)include 1154 @mkdir -p $(obj)include
1155 @echo "#define CONFIG_LCD" >$(obj)include/config.h 1155 @echo "#define CONFIG_LCD" >$(obj)include/config.h
1156 @echo "#define CONFIG_SHARP_LQ104V7DS01" >>$(obj)include/config.h 1156 @echo "#define CONFIG_SHARP_LQ104V7DS01" >>$(obj)include/config.h
1157 @$(MKCONFIG) -a TQM823L ppc mpc8xx tqm8xx tqc 1157 @$(MKCONFIG) -a TQM823L ppc mpc8xx tqm8xx tqc
1158 1158
1159 uc100_config : unconfig 1159 uc100_config : unconfig
1160 @$(MKCONFIG) $(@:_config=) ppc mpc8xx uc100 1160 @$(MKCONFIG) $(@:_config=) ppc mpc8xx uc100
1161 1161
1162 v37_config: unconfig 1162 v37_config: unconfig
1163 @mkdir -p $(obj)include 1163 @mkdir -p $(obj)include
1164 @echo "#define CONFIG_LCD" >$(obj)include/config.h 1164 @echo "#define CONFIG_LCD" >$(obj)include/config.h
1165 @echo "#define CONFIG_SHARP_LQ084V1DG21" >>$(obj)include/config.h 1165 @echo "#define CONFIG_SHARP_LQ084V1DG21" >>$(obj)include/config.h
1166 @$(MKCONFIG) $(@:_config=) ppc mpc8xx v37 1166 @$(MKCONFIG) $(@:_config=) ppc mpc8xx v37
1167 1167
1168 wtk_config: unconfig 1168 wtk_config: unconfig
1169 @mkdir -p $(obj)include 1169 @mkdir -p $(obj)include
1170 @echo "#define CONFIG_LCD" >$(obj)include/config.h 1170 @echo "#define CONFIG_LCD" >$(obj)include/config.h
1171 @echo "#define CONFIG_SHARP_LQ065T9DR51U" >>$(obj)include/config.h 1171 @echo "#define CONFIG_SHARP_LQ065T9DR51U" >>$(obj)include/config.h
1172 @$(MKCONFIG) -a TQM823L ppc mpc8xx tqm8xx tqc 1172 @$(MKCONFIG) -a TQM823L ppc mpc8xx tqm8xx tqc
1173 1173
1174 ######################################################################### 1174 #########################################################################
1175 ## PPC4xx Systems 1175 ## PPC4xx Systems
1176 ######################################################################### 1176 #########################################################################
1177 xtract_4xx = $(subst _25,,$(subst _33,,$(subst _BA,,$(subst _ME,,$(subst _HI,,$(subst _config,,$1)))))) 1177 xtract_4xx = $(subst _25,,$(subst _33,,$(subst _BA,,$(subst _ME,,$(subst _HI,,$(subst _config,,$1))))))
1178 1178
1179 acadia_config: unconfig 1179 acadia_config: unconfig
1180 @$(MKCONFIG) $(@:_config=) ppc ppc4xx acadia amcc 1180 @$(MKCONFIG) $(@:_config=) ppc ppc4xx acadia amcc
1181 1181
1182 acadia_nand_config: unconfig 1182 acadia_nand_config: unconfig
1183 @mkdir -p $(obj)include $(obj)board/amcc/acadia 1183 @mkdir -p $(obj)include $(obj)board/amcc/acadia
1184 @mkdir -p $(obj)nand_spl/board/amcc/acadia 1184 @mkdir -p $(obj)nand_spl/board/amcc/acadia
1185 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h 1185 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h
1186 @$(MKCONFIG) -n $@ -a acadia ppc ppc4xx acadia amcc 1186 @$(MKCONFIG) -n $@ -a acadia ppc ppc4xx acadia amcc
1187 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/acadia/config.tmp 1187 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/acadia/config.tmp
1188 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk 1188 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk
1189 1189
1190 ADCIOP_config: unconfig 1190 ADCIOP_config: unconfig
1191 @$(MKCONFIG) $(@:_config=) ppc ppc4xx adciop esd 1191 @$(MKCONFIG) $(@:_config=) ppc ppc4xx adciop esd
1192 1192
1193 alpr_config: unconfig 1193 alpr_config: unconfig
1194 @$(MKCONFIG) $(@:_config=) ppc ppc4xx alpr prodrive 1194 @$(MKCONFIG) $(@:_config=) ppc ppc4xx alpr prodrive
1195 1195
1196 AP1000_config:unconfig 1196 AP1000_config:unconfig
1197 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ap1000 amirix 1197 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ap1000 amirix
1198 1198
1199 APC405_config: unconfig 1199 APC405_config: unconfig
1200 @$(MKCONFIG) $(@:_config=) ppc ppc4xx apc405 esd 1200 @$(MKCONFIG) $(@:_config=) ppc ppc4xx apc405 esd
1201 1201
1202 AR405_config: unconfig 1202 AR405_config: unconfig
1203 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ar405 esd 1203 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ar405 esd
1204 1204
1205 ASH405_config: unconfig 1205 ASH405_config: unconfig
1206 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ash405 esd 1206 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ash405 esd
1207 1207
1208 bamboo_config: unconfig 1208 bamboo_config: unconfig
1209 @$(MKCONFIG) $(@:_config=) ppc ppc4xx bamboo amcc 1209 @$(MKCONFIG) $(@:_config=) ppc ppc4xx bamboo amcc
1210 1210
1211 bamboo_nand_config: unconfig 1211 bamboo_nand_config: unconfig
1212 @mkdir -p $(obj)include $(obj)board/amcc/bamboo 1212 @mkdir -p $(obj)include $(obj)board/amcc/bamboo
1213 @mkdir -p $(obj)nand_spl/board/amcc/bamboo 1213 @mkdir -p $(obj)nand_spl/board/amcc/bamboo
1214 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h 1214 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h
1215 @$(MKCONFIG) -n $@ -a bamboo ppc ppc4xx bamboo amcc 1215 @$(MKCONFIG) -n $@ -a bamboo ppc ppc4xx bamboo amcc
1216 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/bamboo/config.tmp 1216 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/bamboo/config.tmp
1217 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk 1217 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk
1218 1218
1219 bubinga_config: unconfig 1219 bubinga_config: unconfig
1220 @$(MKCONFIG) $(@:_config=) ppc ppc4xx bubinga amcc 1220 @$(MKCONFIG) $(@:_config=) ppc ppc4xx bubinga amcc
1221 1221
1222 CANBT_config: unconfig 1222 CANBT_config: unconfig
1223 @$(MKCONFIG) $(@:_config=) ppc ppc4xx canbt esd 1223 @$(MKCONFIG) $(@:_config=) ppc ppc4xx canbt esd
1224 1224
1225 # Arches, Canyonlands & Glacier use different U-Boot images 1225 # Arches, Canyonlands & Glacier use different U-Boot images
1226 arches_config \ 1226 arches_config \
1227 canyonlands_config \ 1227 canyonlands_config \
1228 glacier_config: unconfig 1228 glacier_config: unconfig
1229 @mkdir -p $(obj)include 1229 @mkdir -p $(obj)include
1230 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \ 1230 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \
1231 tr '[:lower:]' '[:upper:]')" >$(obj)include/config.h 1231 tr '[:lower:]' '[:upper:]')" >$(obj)include/config.h
1232 @$(MKCONFIG) -n $@ -a canyonlands ppc ppc4xx canyonlands amcc 1232 @$(MKCONFIG) -n $@ -a canyonlands ppc ppc4xx canyonlands amcc
1233 1233
1234 canyonlands_nand_config \ 1234 canyonlands_nand_config \
1235 glacier_nand_config: unconfig 1235 glacier_nand_config: unconfig
1236 @mkdir -p $(obj)include $(obj)board/amcc/canyonlands 1236 @mkdir -p $(obj)include $(obj)board/amcc/canyonlands
1237 @mkdir -p $(obj)nand_spl/board/amcc/canyonlands 1237 @mkdir -p $(obj)nand_spl/board/amcc/canyonlands
1238 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h 1238 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h
1239 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_nand_config=)) | \ 1239 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_nand_config=)) | \
1240 tr '[:lower:]' '[:upper:]')" >> $(obj)include/config.h 1240 tr '[:lower:]' '[:upper:]')" >> $(obj)include/config.h
1241 @$(MKCONFIG) -n $@ -a canyonlands ppc ppc4xx canyonlands amcc 1241 @$(MKCONFIG) -n $@ -a canyonlands ppc ppc4xx canyonlands amcc
1242 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/canyonlands/config.tmp 1242 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/canyonlands/config.tmp
1243 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk 1243 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk
1244 1244
1245 CATcenter_config \ 1245 CATcenter_config \
1246 CATcenter_25_config \ 1246 CATcenter_25_config \
1247 CATcenter_33_config: unconfig 1247 CATcenter_33_config: unconfig
1248 @mkdir -p $(obj)include 1248 @mkdir -p $(obj)include
1249 @ echo "/* CATcenter uses PPChameleon Model ME */" > $(obj)include/config.h 1249 @ echo "/* CATcenter uses PPChameleon Model ME */" > $(obj)include/config.h
1250 @ echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 1" >> $(obj)include/config.h 1250 @ echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 1" >> $(obj)include/config.h
1251 @[ -z "$(findstring _25,$@)" ] || \ 1251 @[ -z "$(findstring _25,$@)" ] || \
1252 { echo "#define CONFIG_PPCHAMELEON_CLK_25" >> $(obj)include/config.h ; \ 1252 { echo "#define CONFIG_PPCHAMELEON_CLK_25" >> $(obj)include/config.h ; \
1253 $(XECHO) "SysClk = 25MHz" ; \ 1253 $(XECHO) "SysClk = 25MHz" ; \
1254 } 1254 }
1255 @[ -z "$(findstring _33,$@)" ] || \ 1255 @[ -z "$(findstring _33,$@)" ] || \
1256 { echo "#define CONFIG_PPCHAMELEON_CLK_33" >> $(obj)include/config.h ; \ 1256 { echo "#define CONFIG_PPCHAMELEON_CLK_33" >> $(obj)include/config.h ; \
1257 $(XECHO) "SysClk = 33MHz" ; \ 1257 $(XECHO) "SysClk = 33MHz" ; \
1258 } 1258 }
1259 @$(MKCONFIG) -a $(call xtract_4xx,$@) ppc ppc4xx PPChameleonEVB dave 1259 @$(MKCONFIG) -a $(call xtract_4xx,$@) ppc ppc4xx PPChameleonEVB dave
1260 1260
1261 CMS700_config: unconfig 1261 CMS700_config: unconfig
1262 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cms700 esd 1262 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cms700 esd
1263 1263
1264 CPCI2DP_config: unconfig 1264 CPCI2DP_config: unconfig
1265 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cpci2dp esd 1265 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cpci2dp esd
1266 1266
1267 CPCI405_config \ 1267 CPCI405_config \
1268 CPCI4052_config \ 1268 CPCI4052_config \
1269 CPCI405DT_config \ 1269 CPCI405DT_config \
1270 CPCI405AB_config: unconfig 1270 CPCI405AB_config: unconfig
1271 @mkdir -p $(obj)board/esd/cpci405 1271 @mkdir -p $(obj)board/esd/cpci405
1272 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cpci405 esd 1272 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cpci405 esd
1273 1273
1274 CPCIISER4_config: unconfig 1274 CPCIISER4_config: unconfig
1275 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cpciiser4 esd 1275 @$(MKCONFIG) $(@:_config=) ppc ppc4xx cpciiser4 esd
1276 1276
1277 CRAYL1_config: unconfig 1277 CRAYL1_config: unconfig
1278 @$(MKCONFIG) $(@:_config=) ppc ppc4xx L1 cray 1278 @$(MKCONFIG) $(@:_config=) ppc ppc4xx L1 cray
1279 1279
1280 csb272_config: unconfig 1280 csb272_config: unconfig
1281 @$(MKCONFIG) $(@:_config=) ppc ppc4xx csb272 1281 @$(MKCONFIG) $(@:_config=) ppc ppc4xx csb272
1282 1282
1283 csb472_config: unconfig 1283 csb472_config: unconfig
1284 @$(MKCONFIG) $(@:_config=) ppc ppc4xx csb472 1284 @$(MKCONFIG) $(@:_config=) ppc ppc4xx csb472
1285 1285
1286 DASA_SIM_config: unconfig 1286 DASA_SIM_config: unconfig
1287 @$(MKCONFIG) $(@:_config=) ppc ppc4xx dasa_sim esd 1287 @$(MKCONFIG) $(@:_config=) ppc ppc4xx dasa_sim esd
1288 1288
1289 DP405_config: unconfig 1289 DP405_config: unconfig
1290 @$(MKCONFIG) $(@:_config=) ppc ppc4xx dp405 esd 1290 @$(MKCONFIG) $(@:_config=) ppc ppc4xx dp405 esd
1291 1291
1292 DU405_config: unconfig 1292 DU405_config: unconfig
1293 @$(MKCONFIG) $(@:_config=) ppc ppc4xx du405 esd 1293 @$(MKCONFIG) $(@:_config=) ppc ppc4xx du405 esd
1294 1294
1295 DU440_config: unconfig 1295 DU440_config: unconfig
1296 @$(MKCONFIG) $(@:_config=) ppc ppc4xx du440 esd 1296 @$(MKCONFIG) $(@:_config=) ppc ppc4xx du440 esd
1297 1297
1298 ebony_config: unconfig 1298 ebony_config: unconfig
1299 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ebony amcc 1299 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ebony amcc
1300 1300
1301 ERIC_config: unconfig 1301 ERIC_config: unconfig
1302 @$(MKCONFIG) $(@:_config=) ppc ppc4xx eric 1302 @$(MKCONFIG) $(@:_config=) ppc ppc4xx eric
1303 1303
1304 EXBITGEN_config: unconfig 1304 EXBITGEN_config: unconfig
1305 @$(MKCONFIG) $(@:_config=) ppc ppc4xx exbitgen 1305 @$(MKCONFIG) $(@:_config=) ppc ppc4xx exbitgen
1306 1306
1307 fx12mm_flash_config: unconfig 1307 fx12mm_flash_config: unconfig
1308 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic 1308 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic
1309 @mkdir -p $(obj)include $(obj)board/avnet/fx12mm 1309 @mkdir -p $(obj)include $(obj)board/avnet/fx12mm
1310 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-rom.lds"\ 1310 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-rom.lds"\
1311 > $(obj)board/avnet/fx12mm/config.tmp 1311 > $(obj)board/avnet/fx12mm/config.tmp
1312 @echo "TEXT_BASE := 0xFFCB0000" \ 1312 @echo "TEXT_BASE := 0xFFCB0000" \
1313 >> $(obj)board/avnet/fx12mm/config.tmp 1313 >> $(obj)board/avnet/fx12mm/config.tmp
1314 @$(MKCONFIG) fx12mm ppc ppc4xx fx12mm avnet 1314 @$(MKCONFIG) fx12mm ppc ppc4xx fx12mm avnet
1315 1315
1316 fx12mm_config: unconfig 1316 fx12mm_config: unconfig
1317 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic 1317 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic
1318 @mkdir -p $(obj)include $(obj)board/avnet/fx12mm 1318 @mkdir -p $(obj)include $(obj)board/avnet/fx12mm
1319 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-ram.lds"\ 1319 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-ram.lds"\
1320 > $(obj)board/avnet/fx12mm/config.tmp 1320 > $(obj)board/avnet/fx12mm/config.tmp
1321 @echo "TEXT_BASE := 0x03000000" \ 1321 @echo "TEXT_BASE := 0x03000000" \
1322 >> $(obj)board/avnet/fx12mm/config.tmp 1322 >> $(obj)board/avnet/fx12mm/config.tmp
1323 @$(MKCONFIG) fx12mm ppc ppc4xx fx12mm avnet 1323 @$(MKCONFIG) fx12mm ppc ppc4xx fx12mm avnet
1324 1324
1325 G2000_config: unconfig 1325 G2000_config: unconfig
1326 @$(MKCONFIG) $(@:_config=) ppc ppc4xx g2000 1326 @$(MKCONFIG) $(@:_config=) ppc ppc4xx g2000
1327 1327
1328 hcu4_config: unconfig 1328 hcu4_config: unconfig
1329 @mkdir -p $(obj)board/netstal/common 1329 @mkdir -p $(obj)board/netstal/common
1330 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hcu4 netstal 1330 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hcu4 netstal
1331 1331
1332 hcu5_config: unconfig 1332 hcu5_config: unconfig
1333 @mkdir -p $(obj)board/netstal/common 1333 @mkdir -p $(obj)board/netstal/common
1334 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hcu5 netstal 1334 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hcu5 netstal
1335 1335
1336 HH405_config: unconfig 1336 HH405_config: unconfig
1337 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hh405 esd 1337 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hh405 esd
1338 1338
1339 HUB405_config: unconfig 1339 HUB405_config: unconfig
1340 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hub405 esd 1340 @$(MKCONFIG) $(@:_config=) ppc ppc4xx hub405 esd
1341 1341
1342 JSE_config: unconfig 1342 JSE_config: unconfig
1343 @$(MKCONFIG) $(@:_config=) ppc ppc4xx jse 1343 @$(MKCONFIG) $(@:_config=) ppc ppc4xx jse
1344 1344
1345 KAREF_config: unconfig 1345 KAREF_config: unconfig
1346 @$(MKCONFIG) $(@:_config=) ppc ppc4xx karef sandburst 1346 @$(MKCONFIG) $(@:_config=) ppc ppc4xx karef sandburst
1347 1347
1348 katmai_config: unconfig 1348 katmai_config: unconfig
1349 @$(MKCONFIG) $(@:_config=) ppc ppc4xx katmai amcc 1349 @$(MKCONFIG) $(@:_config=) ppc ppc4xx katmai amcc
1350 1350
1351 # Kilauea & Haleakala images are identical (recognized via PVR) 1351 # Kilauea & Haleakala images are identical (recognized via PVR)
1352 kilauea_config \ 1352 kilauea_config \
1353 haleakala_config: unconfig 1353 haleakala_config: unconfig
1354 @$(MKCONFIG) -n $@ -a kilauea ppc ppc4xx kilauea amcc 1354 @$(MKCONFIG) -n $@ -a kilauea ppc ppc4xx kilauea amcc
1355 1355
1356 kilauea_nand_config \ 1356 kilauea_nand_config \
1357 haleakala_nand_config: unconfig 1357 haleakala_nand_config: unconfig
1358 @mkdir -p $(obj)include $(obj)board/amcc/kilauea 1358 @mkdir -p $(obj)include $(obj)board/amcc/kilauea
1359 @mkdir -p $(obj)nand_spl/board/amcc/kilauea 1359 @mkdir -p $(obj)nand_spl/board/amcc/kilauea
1360 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h 1360 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h
1361 @$(MKCONFIG) -n $@ -a kilauea ppc ppc4xx kilauea amcc 1361 @$(MKCONFIG) -n $@ -a kilauea ppc ppc4xx kilauea amcc
1362 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/kilauea/config.tmp 1362 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/kilauea/config.tmp
1363 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk 1363 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk
1364 1364
1365 korat_config: unconfig 1365 korat_config: unconfig
1366 @$(MKCONFIG) $(@:_config=) ppc ppc4xx korat 1366 @$(MKCONFIG) $(@:_config=) ppc ppc4xx korat
1367 1367
1368 luan_config: unconfig 1368 luan_config: unconfig
1369 @$(MKCONFIG) $(@:_config=) ppc ppc4xx luan amcc 1369 @$(MKCONFIG) $(@:_config=) ppc ppc4xx luan amcc
1370 1370
1371 lwmon5_config: unconfig 1371 lwmon5_config: unconfig
1372 @$(MKCONFIG) $(@:_config=) ppc ppc4xx lwmon5 1372 @$(MKCONFIG) $(@:_config=) ppc ppc4xx lwmon5
1373 1373
1374 makalu_config: unconfig 1374 makalu_config: unconfig
1375 @$(MKCONFIG) $(@:_config=) ppc ppc4xx makalu amcc 1375 @$(MKCONFIG) $(@:_config=) ppc ppc4xx makalu amcc
1376 1376
1377 mcu25_config: unconfig 1377 mcu25_config: unconfig
1378 @mkdir -p $(obj)board/netstal/common 1378 @mkdir -p $(obj)board/netstal/common
1379 @$(MKCONFIG) $(@:_config=) ppc ppc4xx mcu25 netstal 1379 @$(MKCONFIG) $(@:_config=) ppc ppc4xx mcu25 netstal
1380 1380
1381 METROBOX_config: unconfig 1381 METROBOX_config: unconfig
1382 @$(MKCONFIG) $(@:_config=) ppc ppc4xx metrobox sandburst 1382 @$(MKCONFIG) $(@:_config=) ppc ppc4xx metrobox sandburst
1383 1383
1384 MIP405_config: unconfig 1384 MIP405_config: unconfig
1385 @$(MKCONFIG) $(@:_config=) ppc ppc4xx mip405 mpl 1385 @$(MKCONFIG) $(@:_config=) ppc ppc4xx mip405 mpl
1386 1386
1387 MIP405T_config: unconfig 1387 MIP405T_config: unconfig
1388 @mkdir -p $(obj)include 1388 @mkdir -p $(obj)include
1389 @echo "#define CONFIG_MIP405T" >$(obj)include/config.h 1389 @echo "#define CONFIG_MIP405T" >$(obj)include/config.h
1390 @$(XECHO) "Enable subset config for MIP405T" 1390 @$(XECHO) "Enable subset config for MIP405T"
1391 @$(MKCONFIG) -a MIP405 ppc ppc4xx mip405 mpl 1391 @$(MKCONFIG) -a MIP405 ppc ppc4xx mip405 mpl
1392 1392
1393 ML2_config: unconfig 1393 ML2_config: unconfig
1394 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ml2 1394 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ml2
1395 1395
1396 ml300_config: unconfig 1396 ml300_config: unconfig
1397 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ml300 xilinx 1397 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ml300 xilinx
1398 1398
1399 ml507_flash_config: unconfig 1399 ml507_flash_config: unconfig
1400 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic 1400 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic
1401 @mkdir -p $(obj)include $(obj)board/xilinx/ml507 1401 @mkdir -p $(obj)include $(obj)board/xilinx/ml507
1402 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-rom.lds"\ 1402 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-rom.lds"\
1403 > $(obj)board/xilinx/ml507/config.tmp 1403 > $(obj)board/xilinx/ml507/config.tmp
1404 @echo "TEXT_BASE := 0xFE360000" \ 1404 @echo "TEXT_BASE := 0xFE360000" \
1405 >> $(obj)board/xilinx/ml507/config.tmp 1405 >> $(obj)board/xilinx/ml507/config.tmp
1406 @$(MKCONFIG) ml507 ppc ppc4xx ml507 xilinx 1406 @$(MKCONFIG) ml507 ppc ppc4xx ml507 xilinx
1407 1407
1408 ml507_config: unconfig 1408 ml507_config: unconfig
1409 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic 1409 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic
1410 @mkdir -p $(obj)include $(obj)board/xilinx/ml507 1410 @mkdir -p $(obj)include $(obj)board/xilinx/ml507
1411 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-ram.lds"\ 1411 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-ram.lds"\
1412 > $(obj)board/xilinx/ml507/config.tmp 1412 > $(obj)board/xilinx/ml507/config.tmp
1413 @echo "TEXT_BASE := 0x04000000" \ 1413 @echo "TEXT_BASE := 0x04000000" \
1414 >> $(obj)board/xilinx/ml507/config.tmp 1414 >> $(obj)board/xilinx/ml507/config.tmp
1415 @$(MKCONFIG) ml507 ppc ppc4xx ml507 xilinx 1415 @$(MKCONFIG) ml507 ppc ppc4xx ml507 xilinx
1416 1416
1417 neo_config: unconfig 1417 neo_config: unconfig
1418 @$(MKCONFIG) $(@:_config=) ppc ppc4xx neo gdsys 1418 @$(MKCONFIG) $(@:_config=) ppc ppc4xx neo gdsys
1419 1419
1420 ocotea_config: unconfig 1420 ocotea_config: unconfig
1421 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ocotea amcc 1421 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ocotea amcc
1422 1422
1423 OCRTC_config \ 1423 OCRTC_config \
1424 ORSG_config: unconfig 1424 ORSG_config: unconfig
1425 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ocrtc esd 1425 @$(MKCONFIG) $(@:_config=) ppc ppc4xx ocrtc esd
1426 1426
1427 p3p440_config: unconfig 1427 p3p440_config: unconfig
1428 @$(MKCONFIG) $(@:_config=) ppc ppc4xx p3p440 prodrive 1428 @$(MKCONFIG) $(@:_config=) ppc ppc4xx p3p440 prodrive
1429 1429
1430 PCI405_config: unconfig 1430 PCI405_config: unconfig
1431 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pci405 esd 1431 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pci405 esd
1432 1432
1433 pcs440ep_config: unconfig 1433 pcs440ep_config: unconfig
1434 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pcs440ep 1434 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pcs440ep
1435 1435
1436 PIP405_config: unconfig 1436 PIP405_config: unconfig
1437 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pip405 mpl 1437 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pip405 mpl
1438 1438
1439 PLU405_config: unconfig 1439 PLU405_config: unconfig
1440 @$(MKCONFIG) $(@:_config=) ppc ppc4xx plu405 esd 1440 @$(MKCONFIG) $(@:_config=) ppc ppc4xx plu405 esd
1441 1441
1442 PMC405_config: unconfig 1442 PMC405_config: unconfig
1443 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pmc405 esd 1443 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pmc405 esd
1444 1444
1445 PMC440_config: unconfig 1445 PMC440_config: unconfig
1446 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pmc440 esd 1446 @$(MKCONFIG) $(@:_config=) ppc ppc4xx pmc440 esd
1447 1447
1448 PPChameleonEVB_config \ 1448 PPChameleonEVB_config \
1449 PPChameleonEVB_BA_25_config \ 1449 PPChameleonEVB_BA_25_config \
1450 PPChameleonEVB_ME_25_config \ 1450 PPChameleonEVB_ME_25_config \
1451 PPChameleonEVB_HI_25_config \ 1451 PPChameleonEVB_HI_25_config \
1452 PPChameleonEVB_BA_33_config \ 1452 PPChameleonEVB_BA_33_config \
1453 PPChameleonEVB_ME_33_config \ 1453 PPChameleonEVB_ME_33_config \
1454 PPChameleonEVB_HI_33_config: unconfig 1454 PPChameleonEVB_HI_33_config: unconfig
1455 @mkdir -p $(obj)include 1455 @mkdir -p $(obj)include
1456 @[ -z "$(findstring EVB_BA,$@)" ] || \ 1456 @[ -z "$(findstring EVB_BA,$@)" ] || \
1457 { echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 0" >>$(obj)include/config.h ; \ 1457 { echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 0" >>$(obj)include/config.h ; \
1458 $(XECHO) "... BASIC model" ; \ 1458 $(XECHO) "... BASIC model" ; \
1459 } 1459 }
1460 @[ -z "$(findstring EVB_ME,$@)" ] || \ 1460 @[ -z "$(findstring EVB_ME,$@)" ] || \
1461 { echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 1" >>$(obj)include/config.h ; \ 1461 { echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 1" >>$(obj)include/config.h ; \
1462 $(XECHO) "... MEDIUM model" ; \ 1462 $(XECHO) "... MEDIUM model" ; \
1463 } 1463 }
1464 @[ -z "$(findstring EVB_HI,$@)" ] || \ 1464 @[ -z "$(findstring EVB_HI,$@)" ] || \
1465 { echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 2" >>$(obj)include/config.h ; \ 1465 { echo "#define CONFIG_PPCHAMELEON_MODULE_MODEL 2" >>$(obj)include/config.h ; \
1466 $(XECHO) "... HIGH-END model" ; \ 1466 $(XECHO) "... HIGH-END model" ; \
1467 } 1467 }
1468 @[ -z "$(findstring _25,$@)" ] || \ 1468 @[ -z "$(findstring _25,$@)" ] || \
1469 { echo "#define CONFIG_PPCHAMELEON_CLK_25" >>$(obj)include/config.h ; \ 1469 { echo "#define CONFIG_PPCHAMELEON_CLK_25" >>$(obj)include/config.h ; \
1470 $(XECHO) "SysClk = 25MHz" ; \ 1470 $(XECHO) "SysClk = 25MHz" ; \
1471 } 1471 }
1472 @[ -z "$(findstring _33,$@)" ] || \ 1472 @[ -z "$(findstring _33,$@)" ] || \
1473 { echo "#define CONFIG_PPCHAMELEON_CLK_33" >>$(obj)include/config.h ; \ 1473 { echo "#define CONFIG_PPCHAMELEON_CLK_33" >>$(obj)include/config.h ; \
1474 $(XECHO) "SysClk = 33MHz" ; \ 1474 $(XECHO) "SysClk = 33MHz" ; \
1475 } 1475 }
1476 @$(MKCONFIG) -a $(call xtract_4xx,$@) ppc ppc4xx PPChameleonEVB dave 1476 @$(MKCONFIG) -a $(call xtract_4xx,$@) ppc ppc4xx PPChameleonEVB dave
1477 1477
1478 quad100hd_config: unconfig 1478 quad100hd_config: unconfig
1479 @$(MKCONFIG) $(@:_config=) ppc ppc4xx quad100hd 1479 @$(MKCONFIG) $(@:_config=) ppc ppc4xx quad100hd
1480 1480
1481 redwood_config: unconfig 1481 redwood_config: unconfig
1482 @$(MKCONFIG) $(@:_config=) ppc ppc4xx redwood amcc 1482 @$(MKCONFIG) $(@:_config=) ppc ppc4xx redwood amcc
1483 1483
1484 sbc405_config: unconfig 1484 sbc405_config: unconfig
1485 @$(MKCONFIG) $(@:_config=) ppc ppc4xx sbc405 1485 @$(MKCONFIG) $(@:_config=) ppc ppc4xx sbc405
1486 1486
1487 sc3_config:unconfig 1487 sc3_config:unconfig
1488 @$(MKCONFIG) $(@:_config=) ppc ppc4xx sc3 1488 @$(MKCONFIG) $(@:_config=) ppc ppc4xx sc3
1489 1489
1490 sequoia_config \ 1490 sequoia_config \
1491 rainier_config: unconfig 1491 rainier_config: unconfig
1492 @mkdir -p $(obj)include 1492 @mkdir -p $(obj)include
1493 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \ 1493 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \
1494 tr '[:lower:]' '[:upper:]')" >$(obj)include/config.h 1494 tr '[:lower:]' '[:upper:]')" >$(obj)include/config.h
1495 @$(MKCONFIG) -n $@ -a sequoia ppc ppc4xx sequoia amcc 1495 @$(MKCONFIG) -n $@ -a sequoia ppc ppc4xx sequoia amcc
1496 1496
1497 sequoia_nand_config \ 1497 sequoia_nand_config \
1498 rainier_nand_config: unconfig 1498 rainier_nand_config: unconfig
1499 @mkdir -p $(obj)include $(obj)board/amcc/sequoia 1499 @mkdir -p $(obj)include $(obj)board/amcc/sequoia
1500 @mkdir -p $(obj)nand_spl/board/amcc/sequoia 1500 @mkdir -p $(obj)nand_spl/board/amcc/sequoia
1501 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h 1501 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h
1502 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \ 1502 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \
1503 tr '[:lower:]' '[:upper:]')" >> $(obj)include/config.h 1503 tr '[:lower:]' '[:upper:]')" >> $(obj)include/config.h
1504 @$(MKCONFIG) -n $@ -a sequoia ppc ppc4xx sequoia amcc 1504 @$(MKCONFIG) -n $@ -a sequoia ppc ppc4xx sequoia amcc
1505 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/sequoia/config.tmp 1505 @echo "TEXT_BASE = 0x01000000" > $(obj)board/amcc/sequoia/config.tmp
1506 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk 1506 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk
1507 1507
1508 taihu_config: unconfig 1508 taihu_config: unconfig
1509 @$(MKCONFIG) $(@:_config=) ppc ppc4xx taihu amcc 1509 @$(MKCONFIG) $(@:_config=) ppc ppc4xx taihu amcc
1510 1510
1511 taishan_config: unconfig 1511 taishan_config: unconfig
1512 @$(MKCONFIG) $(@:_config=) ppc ppc4xx taishan amcc 1512 @$(MKCONFIG) $(@:_config=) ppc ppc4xx taishan amcc
1513 1513
1514 v5fx30teval_config: unconfig 1514 v5fx30teval_config: unconfig
1515 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic 1515 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic
1516 @mkdir -p $(obj)include $(obj)board/avnet/v5fx30teval 1516 @mkdir -p $(obj)include $(obj)board/avnet/v5fx30teval
1517 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-ram.lds"\ 1517 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-ram.lds"\
1518 > $(obj)board/avnet/v5fx30teval/config.tmp 1518 > $(obj)board/avnet/v5fx30teval/config.tmp
1519 @echo "TEXT_BASE := 0x03000000" \ 1519 @echo "TEXT_BASE := 0x03000000" \
1520 >> $(obj)board/avnet/v5fx30teval/config.tmp 1520 >> $(obj)board/avnet/v5fx30teval/config.tmp
1521 @$(MKCONFIG) v5fx30teval ppc ppc4xx v5fx30teval avnet 1521 @$(MKCONFIG) v5fx30teval ppc ppc4xx v5fx30teval avnet
1522 1522
1523 v5fx30teval_flash_config: unconfig 1523 v5fx30teval_flash_config: unconfig
1524 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic 1524 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic
1525 @mkdir -p $(obj)include $(obj)board/avnet/v5fx30teval 1525 @mkdir -p $(obj)include $(obj)board/avnet/v5fx30teval
1526 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-rom.lds"\ 1526 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-rom.lds"\
1527 > $(obj)board/avnet/v5fx30teval/config.tmp 1527 > $(obj)board/avnet/v5fx30teval/config.tmp
1528 @echo "TEXT_BASE := 0xFF1C0000" \ 1528 @echo "TEXT_BASE := 0xFF1C0000" \
1529 >> $(obj)board/avnet/v5fx30teval/config.tmp 1529 >> $(obj)board/avnet/v5fx30teval/config.tmp
1530 @$(MKCONFIG) v5fx30teval ppc ppc4xx v5fx30teval avnet 1530 @$(MKCONFIG) v5fx30teval ppc ppc4xx v5fx30teval avnet
1531 1531
1532 VOH405_config: unconfig 1532 VOH405_config: unconfig
1533 @$(MKCONFIG) $(@:_config=) ppc ppc4xx voh405 esd 1533 @$(MKCONFIG) $(@:_config=) ppc ppc4xx voh405 esd
1534 1534
1535 VOM405_config: unconfig 1535 VOM405_config: unconfig
1536 @$(MKCONFIG) $(@:_config=) ppc ppc4xx vom405 esd 1536 @$(MKCONFIG) $(@:_config=) ppc ppc4xx vom405 esd
1537 1537
1538 W7OLMC_config \ 1538 W7OLMC_config \
1539 W7OLMG_config: unconfig 1539 W7OLMG_config: unconfig
1540 @$(MKCONFIG) $(@:_config=) ppc ppc4xx w7o 1540 @$(MKCONFIG) $(@:_config=) ppc ppc4xx w7o
1541 1541
1542 # Walnut & Sycamore images are identical (recognized via PVR) 1542 # Walnut & Sycamore images are identical (recognized via PVR)
1543 walnut_config \ 1543 walnut_config \
1544 sycamore_config: unconfig 1544 sycamore_config: unconfig
1545 @$(MKCONFIG) -n $@ -a walnut ppc ppc4xx walnut amcc 1545 @$(MKCONFIG) -n $@ -a walnut ppc ppc4xx walnut amcc
1546 1546
1547 WUH405_config: unconfig 1547 WUH405_config: unconfig
1548 @$(MKCONFIG) $(@:_config=) ppc ppc4xx wuh405 esd 1548 @$(MKCONFIG) $(@:_config=) ppc ppc4xx wuh405 esd
1549 1549
1550 xilinx-ppc405-generic_flash_config: unconfig 1550 xilinx-ppc405-generic_flash_config: unconfig
1551 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic 1551 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic
1552 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-rom.lds"\ 1552 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-rom.lds"\
1553 > $(obj)board/xilinx/ppc405-generic/config.tmp 1553 > $(obj)board/xilinx/ppc405-generic/config.tmp
1554 @echo "TEXT_BASE := 0xFE360000" \ 1554 @echo "TEXT_BASE := 0xFE360000" \
1555 >> $(obj)board/xilinx/ppc405-generic/config.tmp 1555 >> $(obj)board/xilinx/ppc405-generic/config.tmp
1556 @$(MKCONFIG) xilinx-ppc405-generic ppc ppc4xx ppc405-generic xilinx 1556 @$(MKCONFIG) xilinx-ppc405-generic ppc ppc4xx ppc405-generic xilinx
1557 1557
1558 xilinx-ppc405-generic_config: unconfig 1558 xilinx-ppc405-generic_config: unconfig
1559 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic 1559 @mkdir -p $(obj)include $(obj)board/xilinx/ppc405-generic
1560 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-ram.lds"\ 1560 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc405-generic/u-boot-ram.lds"\
1561 > $(obj)board/xilinx/ppc405-generic/config.tmp 1561 > $(obj)board/xilinx/ppc405-generic/config.tmp
1562 @echo "TEXT_BASE := 0x04000000" \ 1562 @echo "TEXT_BASE := 0x04000000" \
1563 >> $(obj)board/xilinx/ppc405-generic/config.tmp 1563 >> $(obj)board/xilinx/ppc405-generic/config.tmp
1564 @$(MKCONFIG) xilinx-ppc405-generic ppc ppc4xx ppc405-generic xilinx 1564 @$(MKCONFIG) xilinx-ppc405-generic ppc ppc4xx ppc405-generic xilinx
1565 1565
1566 xilinx-ppc440-generic_flash_config: unconfig 1566 xilinx-ppc440-generic_flash_config: unconfig
1567 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic 1567 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic
1568 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-rom.lds"\ 1568 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-rom.lds"\
1569 > $(obj)board/xilinx/ppc440-generic/config.tmp 1569 > $(obj)board/xilinx/ppc440-generic/config.tmp
1570 @echo "TEXT_BASE := 0xFE360000" \ 1570 @echo "TEXT_BASE := 0xFE360000" \
1571 >> $(obj)board/xilinx/ppc440-generic/config.tmp 1571 >> $(obj)board/xilinx/ppc440-generic/config.tmp
1572 @$(MKCONFIG) xilinx-ppc440-generic ppc ppc4xx ppc440-generic xilinx 1572 @$(MKCONFIG) xilinx-ppc440-generic ppc ppc4xx ppc440-generic xilinx
1573 1573
1574 xilinx-ppc440-generic_config: unconfig 1574 xilinx-ppc440-generic_config: unconfig
1575 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic 1575 @mkdir -p $(obj)include $(obj)board/xilinx/ppc440-generic
1576 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-ram.lds"\ 1576 @echo "LDSCRIPT:=$(SRCTREE)/board/xilinx/ppc440-generic/u-boot-ram.lds"\
1577 > $(obj)board/xilinx/ppc440-generic/config.tmp 1577 > $(obj)board/xilinx/ppc440-generic/config.tmp
1578 @echo "TEXT_BASE := 0x04000000" \ 1578 @echo "TEXT_BASE := 0x04000000" \
1579 >> $(obj)board/xilinx/ppc440-generic/config.tmp 1579 >> $(obj)board/xilinx/ppc440-generic/config.tmp
1580 @$(MKCONFIG) xilinx-ppc440-generic ppc ppc4xx ppc440-generic xilinx 1580 @$(MKCONFIG) xilinx-ppc440-generic ppc ppc4xx ppc440-generic xilinx
1581 1581
1582 XPEDITE1K_config: unconfig 1582 XPEDITE1K_config: unconfig
1583 @$(MKCONFIG) $(@:_config=) ppc ppc4xx xpedite1k 1583 @$(MKCONFIG) $(@:_config=) ppc ppc4xx xpedite1k
1584 1584
1585 yosemite_config \ 1585 yosemite_config \
1586 yellowstone_config: unconfig 1586 yellowstone_config: unconfig
1587 @mkdir -p $(obj)include 1587 @mkdir -p $(obj)include
1588 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \ 1588 @echo "#define CONFIG_$$(echo $(subst ,,$(@:_config=)) | \
1589 tr '[:lower:]' '[:upper:]')" >$(obj)include/config.h 1589 tr '[:lower:]' '[:upper:]')" >$(obj)include/config.h
1590 @$(MKCONFIG) -n $@ -a yosemite ppc ppc4xx yosemite amcc 1590 @$(MKCONFIG) -n $@ -a yosemite ppc ppc4xx yosemite amcc
1591 1591
1592 yucca_config: unconfig 1592 yucca_config: unconfig
1593 @$(MKCONFIG) $(@:_config=) ppc ppc4xx yucca amcc 1593 @$(MKCONFIG) $(@:_config=) ppc ppc4xx yucca amcc
1594 1594
1595 zeus_config: unconfig 1595 zeus_config: unconfig
1596 @$(MKCONFIG) $(@:_config=) ppc ppc4xx zeus 1596 @$(MKCONFIG) $(@:_config=) ppc ppc4xx zeus
1597 1597
1598 ######################################################################### 1598 #########################################################################
1599 ## MPC8220 Systems 1599 ## MPC8220 Systems
1600 ######################################################################### 1600 #########################################################################
1601 1601
1602 Alaska8220_config \ 1602 Alaska8220_config \
1603 Yukon8220_config: unconfig 1603 Yukon8220_config: unconfig
1604 @$(MKCONFIG) $(@:_config=) ppc mpc8220 alaska 1604 @$(MKCONFIG) $(@:_config=) ppc mpc8220 alaska
1605 1605
1606 sorcery_config: unconfig 1606 sorcery_config: unconfig
1607 @$(MKCONFIG) $(@:_config=) ppc mpc8220 sorcery 1607 @$(MKCONFIG) $(@:_config=) ppc mpc8220 sorcery
1608 1608
1609 ######################################################################### 1609 #########################################################################
1610 ## MPC824x Systems 1610 ## MPC824x Systems
1611 ######################################################################### 1611 #########################################################################
1612 xtract_82xx = $(subst _BIGFLASH,,$(subst _ROMBOOT,,$(subst _L2,,$(subst _266MHz,,$(subst _300MHz,,$(subst _config,,$1)))))) 1612 xtract_82xx = $(subst _BIGFLASH,,$(subst _ROMBOOT,,$(subst _L2,,$(subst _266MHz,,$(subst _300MHz,,$(subst _config,,$1))))))
1613 1613
1614 A3000_config: unconfig 1614 A3000_config: unconfig
1615 @$(MKCONFIG) $(@:_config=) ppc mpc824x a3000 1615 @$(MKCONFIG) $(@:_config=) ppc mpc824x a3000
1616 1616
1617 barco_config: unconfig 1617 barco_config: unconfig
1618 @$(MKCONFIG) $(@:_config=) ppc mpc824x barco 1618 @$(MKCONFIG) $(@:_config=) ppc mpc824x barco
1619 1619
1620 BMW_config: unconfig 1620 BMW_config: unconfig
1621 @$(MKCONFIG) $(@:_config=) ppc mpc824x bmw 1621 @$(MKCONFIG) $(@:_config=) ppc mpc824x bmw
1622 1622
1623 CPC45_config \ 1623 CPC45_config \
1624 CPC45_ROMBOOT_config: unconfig 1624 CPC45_ROMBOOT_config: unconfig
1625 @$(MKCONFIG) $(call xtract_82xx,$@) ppc mpc824x cpc45 1625 @$(MKCONFIG) $(call xtract_82xx,$@) ppc mpc824x cpc45
1626 @cd $(obj)include ; \ 1626 @cd $(obj)include ; \
1627 if [ "$(findstring _ROMBOOT_,$@)" ] ; then \ 1627 if [ "$(findstring _ROMBOOT_,$@)" ] ; then \
1628 echo "CONFIG_BOOT_ROM = y" >> config.mk ; \ 1628 echo "CONFIG_BOOT_ROM = y" >> config.mk ; \
1629 $(XECHO) "... booting from 8-bit flash" ; \ 1629 $(XECHO) "... booting from 8-bit flash" ; \
1630 else \ 1630 else \
1631 echo "CONFIG_BOOT_ROM = n" >> config.mk ; \ 1631 echo "CONFIG_BOOT_ROM = n" >> config.mk ; \
1632 $(XECHO) "... booting from 64-bit flash" ; \ 1632 $(XECHO) "... booting from 64-bit flash" ; \
1633 fi; \ 1633 fi; \
1634 echo "export CONFIG_BOOT_ROM" >> config.mk; 1634 echo "export CONFIG_BOOT_ROM" >> config.mk;
1635 1635
1636 CU824_config: unconfig 1636 CU824_config: unconfig
1637 @$(MKCONFIG) $(@:_config=) ppc mpc824x cu824 1637 @$(MKCONFIG) $(@:_config=) ppc mpc824x cu824
1638 1638
1639 debris_config: unconfig 1639 debris_config: unconfig
1640 @$(MKCONFIG) $(@:_config=) ppc mpc824x debris etin 1640 @$(MKCONFIG) $(@:_config=) ppc mpc824x debris etin
1641 1641
1642 eXalion_config: unconfig 1642 eXalion_config: unconfig
1643 @$(MKCONFIG) $(@:_config=) ppc mpc824x eXalion 1643 @$(MKCONFIG) $(@:_config=) ppc mpc824x eXalion
1644 1644
1645 HIDDEN_DRAGON_config: unconfig 1645 HIDDEN_DRAGON_config: unconfig
1646 @$(MKCONFIG) $(@:_config=) ppc mpc824x hidden_dragon 1646 @$(MKCONFIG) $(@:_config=) ppc mpc824x hidden_dragon
1647 1647
1648 kvme080_config: unconfig 1648 kvme080_config: unconfig
1649 @$(MKCONFIG) $(@:_config=) ppc mpc824x kvme080 etin 1649 @$(MKCONFIG) $(@:_config=) ppc mpc824x kvme080 etin
1650 1650
1651 # HDLAN is broken ATM. Should be fixed as soon as hardware is available and as 1651 # HDLAN is broken ATM. Should be fixed as soon as hardware is available and as
1652 # time permits. 1652 # time permits.
1653 #linkstation_HDLAN_config \ 1653 #linkstation_HDLAN_config \
1654 # Remove this line when HDLAN is fixed 1654 # Remove this line when HDLAN is fixed
1655 linkstation_HGLAN_config: unconfig 1655 linkstation_HGLAN_config: unconfig
1656 @mkdir -p $(obj)include 1656 @mkdir -p $(obj)include
1657 @case $@ in \ 1657 @case $@ in \
1658 *HGLAN*) echo "#define CONFIG_HGLAN 1" >$(obj)include/config.h; ;; \ 1658 *HGLAN*) echo "#define CONFIG_HGLAN 1" >$(obj)include/config.h; ;; \
1659 *HDLAN*) echo "#define CONFIG_HLAN 1" >$(obj)include/config.h; ;; \ 1659 *HDLAN*) echo "#define CONFIG_HLAN 1" >$(obj)include/config.h; ;; \
1660 esac 1660 esac
1661 @$(MKCONFIG) -n $@ -a linkstation ppc mpc824x linkstation 1661 @$(MKCONFIG) -n $@ -a linkstation ppc mpc824x linkstation
1662 1662
1663 MOUSSE_config: unconfig 1663 MOUSSE_config: unconfig
1664 @$(MKCONFIG) $(@:_config=) ppc mpc824x mousse 1664 @$(MKCONFIG) $(@:_config=) ppc mpc824x mousse
1665 1665
1666 MUSENKI_config: unconfig 1666 MUSENKI_config: unconfig
1667 @$(MKCONFIG) $(@:_config=) ppc mpc824x musenki 1667 @$(MKCONFIG) $(@:_config=) ppc mpc824x musenki
1668 1668
1669 MVBLUE_config: unconfig 1669 MVBLUE_config: unconfig
1670 @$(MKCONFIG) $(@:_config=) ppc mpc824x mvblue 1670 @$(MKCONFIG) $(@:_config=) ppc mpc824x mvblue
1671 1671
1672 OXC_config: unconfig 1672 OXC_config: unconfig
1673 @$(MKCONFIG) $(@:_config=) ppc mpc824x oxc 1673 @$(MKCONFIG) $(@:_config=) ppc mpc824x oxc
1674 1674
1675 PN62_config: unconfig 1675 PN62_config: unconfig
1676 @$(MKCONFIG) $(@:_config=) ppc mpc824x pn62 1676 @$(MKCONFIG) $(@:_config=) ppc mpc824x pn62
1677 1677
1678 Sandpoint8240_config: unconfig 1678 Sandpoint8240_config: unconfig
1679 @$(MKCONFIG) $(@:_config=) ppc mpc824x sandpoint 1679 @$(MKCONFIG) $(@:_config=) ppc mpc824x sandpoint
1680 1680
1681 Sandpoint8245_config: unconfig 1681 Sandpoint8245_config: unconfig
1682 @$(MKCONFIG) $(@:_config=) ppc mpc824x sandpoint 1682 @$(MKCONFIG) $(@:_config=) ppc mpc824x sandpoint
1683 1683
1684 sbc8240_config: unconfig 1684 sbc8240_config: unconfig
1685 @$(MKCONFIG) $(@:_config=) ppc mpc824x sbc8240 1685 @$(MKCONFIG) $(@:_config=) ppc mpc824x sbc8240
1686 1686
1687 SL8245_config: unconfig 1687 SL8245_config: unconfig
1688 @$(MKCONFIG) $(@:_config=) ppc mpc824x sl8245 1688 @$(MKCONFIG) $(@:_config=) ppc mpc824x sl8245
1689 1689
1690 utx8245_config: unconfig 1690 utx8245_config: unconfig
1691 @$(MKCONFIG) $(@:_config=) ppc mpc824x utx8245 1691 @$(MKCONFIG) $(@:_config=) ppc mpc824x utx8245
1692 1692
1693 ######################################################################### 1693 #########################################################################
1694 ## MPC8260 Systems 1694 ## MPC8260 Systems
1695 ######################################################################### 1695 #########################################################################
1696 1696
1697 atc_config: unconfig 1697 atc_config: unconfig
1698 @$(MKCONFIG) $(@:_config=) ppc mpc8260 atc 1698 @$(MKCONFIG) $(@:_config=) ppc mpc8260 atc
1699 1699
1700 cogent_mpc8260_config: unconfig 1700 cogent_mpc8260_config: unconfig
1701 @$(MKCONFIG) $(@:_config=) ppc mpc8260 cogent 1701 @$(MKCONFIG) $(@:_config=) ppc mpc8260 cogent
1702 1702
1703 CPU86_config \ 1703 CPU86_config \
1704 CPU86_ROMBOOT_config: unconfig 1704 CPU86_ROMBOOT_config: unconfig
1705 @$(MKCONFIG) $(call xtract_82xx,$@) ppc mpc8260 cpu86 1705 @$(MKCONFIG) $(call xtract_82xx,$@) ppc mpc8260 cpu86
1706 @cd $(obj)include ; \ 1706 @cd $(obj)include ; \
1707 if [ "$(findstring _ROMBOOT_,$@)" ] ; then \ 1707 if [ "$(findstring _ROMBOOT_,$@)" ] ; then \
1708 echo "CONFIG_BOOT_ROM = y" >> config.mk ; \ 1708 echo "CONFIG_BOOT_ROM = y" >> config.mk ; \
1709 $(XECHO) "... booting from 8-bit flash" ; \ 1709 $(XECHO) "... booting from 8-bit flash" ; \
1710 else \ 1710 else \
1711 echo "CONFIG_BOOT_ROM = n" >> config.mk ; \ 1711 echo "CONFIG_BOOT_ROM = n" >> config.mk ; \
1712 $(XECHO) "... booting from 64-bit flash" ; \ 1712 $(XECHO) "... booting from 64-bit flash" ; \
1713 fi; \ 1713 fi; \
1714 echo "export CONFIG_BOOT_ROM" >> config.mk; 1714 echo "export CONFIG_BOOT_ROM" >> config.mk;
1715 1715
1716 CPU87_config \ 1716 CPU87_config \
1717 CPU87_ROMBOOT_config: unconfig 1717 CPU87_ROMBOOT_config: unconfig
1718 @$(MKCONFIG) $(call xtract_82xx,$@) ppc mpc8260 cpu87 1718 @$(MKCONFIG) $(call xtract_82xx,$@) ppc mpc8260 cpu87
1719 @cd $(obj)include ; \ 1719 @cd $(obj)include ; \
1720 if [ "$(findstring _ROMBOOT_,$@)" ] ; then \ 1720 if [ "$(findstring _ROMBOOT_,$@)" ] ; then \
1721 echo "CONFIG_BOOT_ROM = y" >> config.mk ; \ 1721 echo "CONFIG_BOOT_ROM = y" >> config.mk ; \
1722 $(XECHO) "... booting from 8-bit flash" ; \ 1722 $(XECHO) "... booting from 8-bit flash" ; \
1723 else \ 1723 else \
1724 echo "CONFIG_BOOT_ROM = n" >> config.mk ; \ 1724 echo "CONFIG_BOOT_ROM = n" >> config.mk ; \
1725 $(XECHO) "... booting from 64-bit flash" ; \ 1725 $(XECHO) "... booting from 64-bit flash" ; \
1726 fi; \ 1726 fi; \
1727 echo "export CONFIG_BOOT_ROM" >> config.mk; 1727 echo "export CONFIG_BOOT_ROM" >> config.mk;
1728 1728
1729 ep8248_config \ 1729 ep8248_config \
1730 ep8248E_config : unconfig 1730 ep8248E_config : unconfig
1731 @$(MKCONFIG) ep8248 ppc mpc8260 ep8248 1731 @$(MKCONFIG) ep8248 ppc mpc8260 ep8248
1732 1732
1733 ep8260_config: unconfig 1733 ep8260_config: unconfig
1734 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ep8260 1734 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ep8260
1735 1735
1736 ep82xxm_config: unconfig 1736 ep82xxm_config: unconfig
1737 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ep82xxm 1737 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ep82xxm
1738 1738
1739 gw8260_config: unconfig 1739 gw8260_config: unconfig
1740 @$(MKCONFIG) $(@:_config=) ppc mpc8260 gw8260 1740 @$(MKCONFIG) $(@:_config=) ppc mpc8260 gw8260
1741 1741
1742 hymod_config: unconfig 1742 hymod_config: unconfig
1743 @$(MKCONFIG) $(@:_config=) ppc mpc8260 hymod 1743 @$(MKCONFIG) $(@:_config=) ppc mpc8260 hymod
1744 1744
1745 IDS8247_config: unconfig 1745 IDS8247_config: unconfig
1746 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ids8247 1746 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ids8247
1747 1747
1748 IPHASE4539_config: unconfig 1748 IPHASE4539_config: unconfig
1749 @$(MKCONFIG) $(@:_config=) ppc mpc8260 iphase4539 1749 @$(MKCONFIG) $(@:_config=) ppc mpc8260 iphase4539
1750 1750
1751 ISPAN_config \ 1751 ISPAN_config \
1752 ISPAN_REVB_config: unconfig 1752 ISPAN_REVB_config: unconfig
1753 @mkdir -p $(obj)include 1753 @mkdir -p $(obj)include
1754 @if [ "$(findstring _REVB_,$@)" ] ; then \ 1754 @if [ "$(findstring _REVB_,$@)" ] ; then \
1755 echo "#define CONFIG_SYS_REV_B" > $(obj)include/config.h ; \ 1755 echo "#define CONFIG_SYS_REV_B" > $(obj)include/config.h ; \
1756 fi 1756 fi
1757 @$(MKCONFIG) -a ISPAN ppc mpc8260 ispan 1757 @$(MKCONFIG) -a ISPAN ppc mpc8260 ispan
1758 1758
1759 mgcoge_config : unconfig 1759 mgcoge_config : unconfig
1760 @$(MKCONFIG) mgcoge ppc mpc8260 mgcoge keymile 1760 @$(MKCONFIG) mgcoge ppc mpc8260 mgcoge keymile
1761 1761
1762 MPC8260ADS_config \ 1762 MPC8260ADS_config \
1763 MPC8260ADS_lowboot_config \ 1763 MPC8260ADS_lowboot_config \
1764 MPC8260ADS_33MHz_config \ 1764 MPC8260ADS_33MHz_config \
1765 MPC8260ADS_33MHz_lowboot_config \ 1765 MPC8260ADS_33MHz_lowboot_config \
1766 MPC8260ADS_40MHz_config \ 1766 MPC8260ADS_40MHz_config \
1767 MPC8260ADS_40MHz_lowboot_config \ 1767 MPC8260ADS_40MHz_lowboot_config \
1768 MPC8272ADS_config \ 1768 MPC8272ADS_config \
1769 MPC8272ADS_lowboot_config \ 1769 MPC8272ADS_lowboot_config \
1770 PQ2FADS_config \ 1770 PQ2FADS_config \
1771 PQ2FADS_lowboot_config \ 1771 PQ2FADS_lowboot_config \
1772 PQ2FADS-VR_config \ 1772 PQ2FADS-VR_config \
1773 PQ2FADS-VR_lowboot_config \ 1773 PQ2FADS-VR_lowboot_config \
1774 PQ2FADS-ZU_config \ 1774 PQ2FADS-ZU_config \
1775 PQ2FADS-ZU_lowboot_config \ 1775 PQ2FADS-ZU_lowboot_config \
1776 PQ2FADS-ZU_66MHz_config \ 1776 PQ2FADS-ZU_66MHz_config \
1777 PQ2FADS-ZU_66MHz_lowboot_config \ 1777 PQ2FADS-ZU_66MHz_lowboot_config \
1778 : unconfig 1778 : unconfig
1779 @mkdir -p $(obj)include 1779 @mkdir -p $(obj)include
1780 @mkdir -p $(obj)board/freescale/mpc8260ads 1780 @mkdir -p $(obj)board/freescale/mpc8260ads
1781 $(if $(findstring PQ2FADS,$@), \ 1781 $(if $(findstring PQ2FADS,$@), \
1782 @echo "#define CONFIG_ADSTYPE CONFIG_SYS_PQ2FADS" > $(obj)include/config.h, \ 1782 @echo "#define CONFIG_ADSTYPE CONFIG_SYS_PQ2FADS" > $(obj)include/config.h, \
1783 @echo "#define CONFIG_ADSTYPE CONFIG_SYS_"$(subst MPC,,$(word 1,$(subst _, ,$@))) > $(obj)include/config.h) 1783 @echo "#define CONFIG_ADSTYPE CONFIG_SYS_"$(subst MPC,,$(word 1,$(subst _, ,$@))) > $(obj)include/config.h)
1784 $(if $(findstring MHz,$@), \ 1784 $(if $(findstring MHz,$@), \
1785 @echo "#define CONFIG_8260_CLKIN" $(subst MHz,,$(word 2,$(subst _, ,$@)))"000000" >> $(obj)include/config.h, \ 1785 @echo "#define CONFIG_8260_CLKIN" $(subst MHz,,$(word 2,$(subst _, ,$@)))"000000" >> $(obj)include/config.h, \
1786 $(if $(findstring VR,$@), \ 1786 $(if $(findstring VR,$@), \
1787 @echo "#define CONFIG_8260_CLKIN 66000000" >> $(obj)include/config.h)) 1787 @echo "#define CONFIG_8260_CLKIN 66000000" >> $(obj)include/config.h))
1788 @[ -z "$(findstring lowboot_,$@)" ] || \ 1788 @[ -z "$(findstring lowboot_,$@)" ] || \
1789 { echo "TEXT_BASE = 0xFF800000" >$(obj)board/freescale/mpc8260ads/config.tmp ; \ 1789 { echo "TEXT_BASE = 0xFF800000" >$(obj)board/freescale/mpc8260ads/config.tmp ; \
1790 $(XECHO) "... with lowboot configuration" ; \ 1790 $(XECHO) "... with lowboot configuration" ; \
1791 } 1791 }
1792 @$(MKCONFIG) -a MPC8260ADS ppc mpc8260 mpc8260ads freescale 1792 @$(MKCONFIG) -a MPC8260ADS ppc mpc8260 mpc8260ads freescale
1793 1793
1794 MPC8266ADS_config: unconfig 1794 MPC8266ADS_config: unconfig
1795 @$(MKCONFIG) $(@:_config=) ppc mpc8260 mpc8266ads freescale 1795 @$(MKCONFIG) $(@:_config=) ppc mpc8260 mpc8266ads freescale
1796 1796
1797 muas3001_dev_config \ 1797 muas3001_dev_config \
1798 muas3001_config : unconfig 1798 muas3001_config : unconfig
1799 @mkdir -p $(obj)include 1799 @mkdir -p $(obj)include
1800 @mkdir -p $(obj)board/muas3001 1800 @mkdir -p $(obj)board/muas3001
1801 @if [ "$(findstring dev,$@)" ] ; then \ 1801 @if [ "$(findstring dev,$@)" ] ; then \
1802 echo "#define CONFIG_MUAS_DEV_BOARD" > $(obj)include/config.h ; \ 1802 echo "#define CONFIG_MUAS_DEV_BOARD" > $(obj)include/config.h ; \
1803 fi 1803 fi
1804 @$(MKCONFIG) -a muas3001 ppc mpc8260 muas3001 1804 @$(MKCONFIG) -a muas3001 ppc mpc8260 muas3001
1805 1805
1806 # PM825/PM826 default configuration: small (= 8 MB) Flash / boot from 64-bit flash 1806 # PM825/PM826 default configuration: small (= 8 MB) Flash / boot from 64-bit flash
1807 PM825_config \ 1807 PM825_config \
1808 PM825_ROMBOOT_config \ 1808 PM825_ROMBOOT_config \
1809 PM825_BIGFLASH_config \ 1809 PM825_BIGFLASH_config \
1810 PM825_ROMBOOT_BIGFLASH_config \ 1810 PM825_ROMBOOT_BIGFLASH_config \
1811 PM826_config \ 1811 PM826_config \
1812 PM826_ROMBOOT_config \ 1812 PM826_ROMBOOT_config \
1813 PM826_BIGFLASH_config \ 1813 PM826_BIGFLASH_config \
1814 PM826_ROMBOOT_BIGFLASH_config: unconfig 1814 PM826_ROMBOOT_BIGFLASH_config: unconfig
1815 @mkdir -p $(obj)include 1815 @mkdir -p $(obj)include
1816 @mkdir -p $(obj)board/pm826 1816 @mkdir -p $(obj)board/pm826
1817 @if [ "$(findstring PM825_,$@)" ] ; then \ 1817 @if [ "$(findstring PM825_,$@)" ] ; then \
1818 echo "#define CONFIG_PCI" >$(obj)include/config.h ; \ 1818 echo "#define CONFIG_PCI" >$(obj)include/config.h ; \
1819 else \ 1819 else \
1820 >$(obj)include/config.h ; \ 1820 >$(obj)include/config.h ; \
1821 fi 1821 fi
1822 @if [ "$(findstring _ROMBOOT_,$@)" ] ; then \ 1822 @if [ "$(findstring _ROMBOOT_,$@)" ] ; then \
1823 $(XECHO) "... booting from 8-bit flash" ; \ 1823 $(XECHO) "... booting from 8-bit flash" ; \
1824 echo "#define CONFIG_BOOT_ROM" >>$(obj)include/config.h ; \ 1824 echo "#define CONFIG_BOOT_ROM" >>$(obj)include/config.h ; \
1825 echo "TEXT_BASE = 0xFF800000" >$(obj)board/pm826/config.tmp ; \ 1825 echo "TEXT_BASE = 0xFF800000" >$(obj)board/pm826/config.tmp ; \
1826 if [ "$(findstring _BIGFLASH_,$@)" ] ; then \ 1826 if [ "$(findstring _BIGFLASH_,$@)" ] ; then \
1827 $(XECHO) "... with 32 MB Flash" ; \ 1827 $(XECHO) "... with 32 MB Flash" ; \
1828 echo "#define CONFIG_FLASH_32MB" >>$(obj)include/config.h ; \ 1828 echo "#define CONFIG_FLASH_32MB" >>$(obj)include/config.h ; \
1829 fi; \ 1829 fi; \
1830 else \ 1830 else \
1831 $(XECHO) "... booting from 64-bit flash" ; \ 1831 $(XECHO) "... booting from 64-bit flash" ; \
1832 if [ "$(findstring _BIGFLASH_,$@)" ] ; then \ 1832 if [ "$(findstring _BIGFLASH_,$@)" ] ; then \
1833 $(XECHO) "... with 32 MB Flash" ; \ 1833 $(XECHO) "... with 32 MB Flash" ; \
1834 echo "#define CONFIG_FLASH_32MB" >>$(obj)include/config.h ; \ 1834 echo "#define CONFIG_FLASH_32MB" >>$(obj)include/config.h ; \
1835 echo "TEXT_BASE = 0x40000000" >$(obj)board/pm826/config.tmp ; \ 1835 echo "TEXT_BASE = 0x40000000" >$(obj)board/pm826/config.tmp ; \
1836 else \ 1836 else \
1837 echo "TEXT_BASE = 0xFF000000" >$(obj)board/pm826/config.tmp ; \ 1837 echo "TEXT_BASE = 0xFF000000" >$(obj)board/pm826/config.tmp ; \
1838 fi; \ 1838 fi; \
1839 fi 1839 fi
1840 @$(MKCONFIG) -a PM826 ppc mpc8260 pm826 1840 @$(MKCONFIG) -a PM826 ppc mpc8260 pm826
1841 1841
1842 PM828_config \ 1842 PM828_config \
1843 PM828_PCI_config \ 1843 PM828_PCI_config \
1844 PM828_ROMBOOT_config \ 1844 PM828_ROMBOOT_config \
1845 PM828_ROMBOOT_PCI_config: unconfig 1845 PM828_ROMBOOT_PCI_config: unconfig
1846 @mkdir -p $(obj)include 1846 @mkdir -p $(obj)include
1847 @mkdir -p $(obj)board/pm826 1847 @mkdir -p $(obj)board/pm826
1848 @if [ "$(findstring _PCI_,$@)" ] ; then \ 1848 @if [ "$(findstring _PCI_,$@)" ] ; then \
1849 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \ 1849 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \
1850 $(XECHO) "... with PCI enabled" ; \ 1850 $(XECHO) "... with PCI enabled" ; \
1851 fi 1851 fi
1852 @if [ "$(findstring _ROMBOOT_,$@)" ] ; then \ 1852 @if [ "$(findstring _ROMBOOT_,$@)" ] ; then \
1853 $(XECHO) "... booting from 8-bit flash" ; \ 1853 $(XECHO) "... booting from 8-bit flash" ; \
1854 echo "#define CONFIG_BOOT_ROM" >>$(obj)include/config.h ; \ 1854 echo "#define CONFIG_BOOT_ROM" >>$(obj)include/config.h ; \
1855 echo "TEXT_BASE = 0xFF800000" >$(obj)board/pm826/config.tmp ; \ 1855 echo "TEXT_BASE = 0xFF800000" >$(obj)board/pm826/config.tmp ; \
1856 fi 1856 fi
1857 @$(MKCONFIG) -a PM828 ppc mpc8260 pm828 1857 @$(MKCONFIG) -a PM828 ppc mpc8260 pm828
1858 1858
1859 ppmc8260_config: unconfig 1859 ppmc8260_config: unconfig
1860 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ppmc8260 1860 @$(MKCONFIG) $(@:_config=) ppc mpc8260 ppmc8260
1861 1861
1862 Rattler8248_config \ 1862 Rattler8248_config \
1863 Rattler_config: unconfig 1863 Rattler_config: unconfig
1864 @mkdir -p $(obj)include 1864 @mkdir -p $(obj)include
1865 $(if $(findstring 8248,$@), \ 1865 $(if $(findstring 8248,$@), \
1866 @echo "#define CONFIG_MPC8248" > $(obj)include/config.h) 1866 @echo "#define CONFIG_MPC8248" > $(obj)include/config.h)
1867 @$(MKCONFIG) -a Rattler ppc mpc8260 rattler 1867 @$(MKCONFIG) -a Rattler ppc mpc8260 rattler
1868 1868
1869 RPXsuper_config: unconfig 1869 RPXsuper_config: unconfig
1870 @$(MKCONFIG) $(@:_config=) ppc mpc8260 rpxsuper 1870 @$(MKCONFIG) $(@:_config=) ppc mpc8260 rpxsuper
1871 1871
1872 rsdproto_config: unconfig 1872 rsdproto_config: unconfig
1873 @$(MKCONFIG) $(@:_config=) ppc mpc8260 rsdproto 1873 @$(MKCONFIG) $(@:_config=) ppc mpc8260 rsdproto
1874 1874
1875 sacsng_config: unconfig 1875 sacsng_config: unconfig
1876 @$(MKCONFIG) $(@:_config=) ppc mpc8260 sacsng 1876 @$(MKCONFIG) $(@:_config=) ppc mpc8260 sacsng
1877 1877
1878 sbc8260_config: unconfig 1878 sbc8260_config: unconfig
1879 @$(MKCONFIG) $(@:_config=) ppc mpc8260 sbc8260 1879 @$(MKCONFIG) $(@:_config=) ppc mpc8260 sbc8260
1880 1880
1881 SCM_config: unconfig 1881 SCM_config: unconfig
1882 @$(MKCONFIG) $(@:_config=) ppc mpc8260 SCM siemens 1882 @$(MKCONFIG) $(@:_config=) ppc mpc8260 SCM siemens
1883 1883
1884 TQM8255_AA_config \ 1884 TQM8255_AA_config \
1885 TQM8260_AA_config \ 1885 TQM8260_AA_config \
1886 TQM8260_AB_config \ 1886 TQM8260_AB_config \
1887 TQM8260_AC_config \ 1887 TQM8260_AC_config \
1888 TQM8260_AD_config \ 1888 TQM8260_AD_config \
1889 TQM8260_AE_config \ 1889 TQM8260_AE_config \
1890 TQM8260_AF_config \ 1890 TQM8260_AF_config \
1891 TQM8260_AG_config \ 1891 TQM8260_AG_config \
1892 TQM8260_AH_config \ 1892 TQM8260_AH_config \
1893 TQM8260_AI_config \ 1893 TQM8260_AI_config \
1894 TQM8265_AA_config: unconfig 1894 TQM8265_AA_config: unconfig
1895 @mkdir -p $(obj)include 1895 @mkdir -p $(obj)include
1896 @case "$@" in \ 1896 @case "$@" in \
1897 TQM8255_AA_config) CTYPE=MPC8255; CFREQ=300; CACHE=no; BMODE=8260;; \ 1897 TQM8255_AA_config) CTYPE=MPC8255; CFREQ=300; CACHE=no; BMODE=8260;; \
1898 TQM8260_AA_config) CTYPE=MPC8260; CFREQ=200; CACHE=no; BMODE=8260;; \ 1898 TQM8260_AA_config) CTYPE=MPC8260; CFREQ=200; CACHE=no; BMODE=8260;; \
1899 TQM8260_AB_config) CTYPE=MPC8260; CFREQ=200; CACHE=yes; BMODE=60x;; \ 1899 TQM8260_AB_config) CTYPE=MPC8260; CFREQ=200; CACHE=yes; BMODE=60x;; \
1900 TQM8260_AC_config) CTYPE=MPC8260; CFREQ=200; CACHE=yes; BMODE=60x;; \ 1900 TQM8260_AC_config) CTYPE=MPC8260; CFREQ=200; CACHE=yes; BMODE=60x;; \
1901 TQM8260_AD_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=60x;; \ 1901 TQM8260_AD_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=60x;; \
1902 TQM8260_AE_config) CTYPE=MPC8260; CFREQ=266; CACHE=no; BMODE=8260;; \ 1902 TQM8260_AE_config) CTYPE=MPC8260; CFREQ=266; CACHE=no; BMODE=8260;; \
1903 TQM8260_AF_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=60x;; \ 1903 TQM8260_AF_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=60x;; \
1904 TQM8260_AG_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=8260;; \ 1904 TQM8260_AG_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=8260;; \
1905 TQM8260_AH_config) CTYPE=MPC8260; CFREQ=300; CACHE=yes; BMODE=60x;; \ 1905 TQM8260_AH_config) CTYPE=MPC8260; CFREQ=300; CACHE=yes; BMODE=60x;; \
1906 TQM8260_AI_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=60x;; \ 1906 TQM8260_AI_config) CTYPE=MPC8260; CFREQ=300; CACHE=no; BMODE=60x;; \
1907 TQM8265_AA_config) CTYPE=MPC8265; CFREQ=300; CACHE=no; BMODE=60x;; \ 1907 TQM8265_AA_config) CTYPE=MPC8265; CFREQ=300; CACHE=no; BMODE=60x;; \
1908 esac; \ 1908 esac; \
1909 if [ "$${CTYPE}" != "MPC8260" ] ; then \ 1909 if [ "$${CTYPE}" != "MPC8260" ] ; then \
1910 echo "#define CONFIG_$${CTYPE}" >>$(obj)include/config.h ; \ 1910 echo "#define CONFIG_$${CTYPE}" >>$(obj)include/config.h ; \
1911 fi; \ 1911 fi; \
1912 echo "#define CONFIG_$${CFREQ}MHz" >>$(obj)include/config.h ; \ 1912 echo "#define CONFIG_$${CFREQ}MHz" >>$(obj)include/config.h ; \
1913 echo "... with $${CFREQ}MHz system clock" ; \ 1913 echo "... with $${CFREQ}MHz system clock" ; \
1914 if [ "$${CACHE}" = "yes" ] ; then \ 1914 if [ "$${CACHE}" = "yes" ] ; then \
1915 echo "#define CONFIG_L2_CACHE" >>$(obj)include/config.h ; \ 1915 echo "#define CONFIG_L2_CACHE" >>$(obj)include/config.h ; \
1916 $(XECHO) "... with L2 Cache support" ; \ 1916 $(XECHO) "... with L2 Cache support" ; \
1917 else \ 1917 else \
1918 echo "#undef CONFIG_L2_CACHE" >>$(obj)include/config.h ; \ 1918 echo "#undef CONFIG_L2_CACHE" >>$(obj)include/config.h ; \
1919 $(XECHO) "... without L2 Cache support" ; \ 1919 $(XECHO) "... without L2 Cache support" ; \
1920 fi; \ 1920 fi; \
1921 if [ "$${BMODE}" = "60x" ] ; then \ 1921 if [ "$${BMODE}" = "60x" ] ; then \
1922 echo "#define CONFIG_BUSMODE_60x" >>$(obj)include/config.h ; \ 1922 echo "#define CONFIG_BUSMODE_60x" >>$(obj)include/config.h ; \
1923 $(XECHO) "... with 60x Bus Mode" ; \ 1923 $(XECHO) "... with 60x Bus Mode" ; \
1924 else \ 1924 else \
1925 echo "#undef CONFIG_BUSMODE_60x" >>$(obj)include/config.h ; \ 1925 echo "#undef CONFIG_BUSMODE_60x" >>$(obj)include/config.h ; \
1926 $(XECHO) "... without 60x Bus Mode" ; \ 1926 $(XECHO) "... without 60x Bus Mode" ; \
1927 fi 1927 fi
1928 @$(MKCONFIG) -a TQM8260 ppc mpc8260 tqm8260 tqc 1928 @$(MKCONFIG) -a TQM8260 ppc mpc8260 tqm8260 tqc
1929 1929
1930 TQM8272_config: unconfig 1930 TQM8272_config: unconfig
1931 @$(MKCONFIG) TQM8272 ppc mpc8260 tqm8272 tqc 1931 @$(MKCONFIG) TQM8272 ppc mpc8260 tqm8272 tqc
1932 1932
1933 VoVPN-GW_66MHz_config \ 1933 VoVPN-GW_66MHz_config \
1934 VoVPN-GW_100MHz_config: unconfig 1934 VoVPN-GW_100MHz_config: unconfig
1935 @mkdir -p $(obj)include 1935 @mkdir -p $(obj)include
1936 @echo "#define CONFIG_CLKIN_$(word 2,$(subst _, ,$@))" > $(obj)include/config.h 1936 @echo "#define CONFIG_CLKIN_$(word 2,$(subst _, ,$@))" > $(obj)include/config.h
1937 @$(MKCONFIG) -a VoVPN-GW ppc mpc8260 vovpn-gw funkwerk 1937 @$(MKCONFIG) -a VoVPN-GW ppc mpc8260 vovpn-gw funkwerk
1938 1938
1939 ZPC1900_config: unconfig 1939 ZPC1900_config: unconfig
1940 @$(MKCONFIG) $(@:_config=) ppc mpc8260 zpc1900 1940 @$(MKCONFIG) $(@:_config=) ppc mpc8260 zpc1900
1941 1941
1942 ######################################################################### 1942 #########################################################################
1943 ## Coldfire 1943 ## Coldfire
1944 ######################################################################### 1944 #########################################################################
1945 1945
1946 M52277EVB_config \ 1946 M52277EVB_config \
1947 M52277EVB_spansion_config \ 1947 M52277EVB_spansion_config \
1948 M52277EVB_stmicro_config : unconfig 1948 M52277EVB_stmicro_config : unconfig
1949 @case "$@" in \ 1949 @case "$@" in \
1950 M52277EVB_config) FLASH=SPANSION;; \ 1950 M52277EVB_config) FLASH=SPANSION;; \
1951 M52277EVB_spansion_config) FLASH=SPANSION;; \ 1951 M52277EVB_spansion_config) FLASH=SPANSION;; \
1952 M52277EVB_stmicro_config) FLASH=STMICRO;; \ 1952 M52277EVB_stmicro_config) FLASH=STMICRO;; \
1953 esac; \ 1953 esac; \
1954 if [ "$${FLASH}" = "SPANSION" ] ; then \ 1954 if [ "$${FLASH}" = "SPANSION" ] ; then \
1955 echo "#define CONFIG_SYS_SPANSION_BOOT" >> $(obj)include/config.h ; \ 1955 echo "#define CONFIG_SYS_SPANSION_BOOT" >> $(obj)include/config.h ; \
1956 echo "TEXT_BASE = 0x00000000" > $(obj)board/freescale/m52277evb/config.tmp ; \ 1956 echo "TEXT_BASE = 0x00000000" > $(obj)board/freescale/m52277evb/config.tmp ; \
1957 cp $(obj)board/freescale/m52277evb/u-boot.spa $(obj)board/freescale/m52277evb/u-boot.lds ; \ 1957 cp $(obj)board/freescale/m52277evb/u-boot.spa $(obj)board/freescale/m52277evb/u-boot.lds ; \
1958 $(XECHO) "... with SPANSION boot..." ; \ 1958 $(XECHO) "... with SPANSION boot..." ; \
1959 fi; \ 1959 fi; \
1960 if [ "$${FLASH}" = "STMICRO" ] ; then \ 1960 if [ "$${FLASH}" = "STMICRO" ] ; then \
1961 echo "#define CONFIG_CF_SBF" >> $(obj)include/config.h ; \ 1961 echo "#define CONFIG_CF_SBF" >> $(obj)include/config.h ; \
1962 echo "#define CONFIG_SYS_STMICRO_BOOT" >> $(obj)include/config.h ; \ 1962 echo "#define CONFIG_SYS_STMICRO_BOOT" >> $(obj)include/config.h ; \
1963 echo "TEXT_BASE = 0x43E00000" > $(obj)board/freescale/m52277evb/config.tmp ; \ 1963 echo "TEXT_BASE = 0x43E00000" > $(obj)board/freescale/m52277evb/config.tmp ; \
1964 cp $(obj)board/freescale/m52277evb/u-boot.stm $(obj)board/freescale/m52277evb/u-boot.lds ; \ 1964 cp $(obj)board/freescale/m52277evb/u-boot.stm $(obj)board/freescale/m52277evb/u-boot.lds ; \
1965 $(XECHO) "... with ST Micro boot..." ; \ 1965 $(XECHO) "... with ST Micro boot..." ; \
1966 fi 1966 fi
1967 @$(MKCONFIG) -a M52277EVB m68k mcf5227x m52277evb freescale 1967 @$(MKCONFIG) -a M52277EVB m68k mcf5227x m52277evb freescale
1968 1968
1969 M5235EVB_config \ 1969 M5235EVB_config \
1970 M5235EVB_Flash16_config \ 1970 M5235EVB_Flash16_config \
1971 M5235EVB_Flash32_config: unconfig 1971 M5235EVB_Flash32_config: unconfig
1972 @case "$@" in \ 1972 @case "$@" in \
1973 M5235EVB_config) FLASH=16;; \ 1973 M5235EVB_config) FLASH=16;; \
1974 M5235EVB_Flash16_config) FLASH=16;; \ 1974 M5235EVB_Flash16_config) FLASH=16;; \
1975 M5235EVB_Flash32_config) FLASH=32;; \ 1975 M5235EVB_Flash32_config) FLASH=32;; \
1976 esac; \ 1976 esac; \
1977 if [ "$${FLASH}" != "16" ] ; then \ 1977 if [ "$${FLASH}" != "16" ] ; then \
1978 echo "#define NORFLASH_PS32BIT 1" >> $(obj)include/config.h ; \ 1978 echo "#define NORFLASH_PS32BIT 1" >> $(obj)include/config.h ; \
1979 echo "TEXT_BASE = 0xFFC00000" > $(obj)board/freescale/m5235evb/config.tmp ; \ 1979 echo "TEXT_BASE = 0xFFC00000" > $(obj)board/freescale/m5235evb/config.tmp ; \
1980 cp $(obj)board/freescale/m5235evb/u-boot.32 $(obj)board/freescale/m5235evb/u-boot.lds ; \ 1980 cp $(obj)board/freescale/m5235evb/u-boot.32 $(obj)board/freescale/m5235evb/u-boot.lds ; \
1981 else \ 1981 else \
1982 echo "TEXT_BASE = 0xFFE00000" > $(obj)board/freescale/m5235evb/config.tmp ; \ 1982 echo "TEXT_BASE = 0xFFE00000" > $(obj)board/freescale/m5235evb/config.tmp ; \
1983 cp $(obj)board/freescale/m5235evb/u-boot.16 $(obj)board/freescale/m5235evb/u-boot.lds ; \ 1983 cp $(obj)board/freescale/m5235evb/u-boot.16 $(obj)board/freescale/m5235evb/u-boot.lds ; \
1984 fi 1984 fi
1985 @$(MKCONFIG) -a M5235EVB m68k mcf523x m5235evb freescale 1985 @$(MKCONFIG) -a M5235EVB m68k mcf523x m5235evb freescale
1986 1986
1987 M5249EVB_config : unconfig 1987 M5249EVB_config : unconfig
1988 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5249evb freescale 1988 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5249evb freescale
1989 1989
1990 M5253DEMO_config : unconfig 1990 M5253DEMO_config : unconfig
1991 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5253demo freescale 1991 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5253demo freescale
1992 1992
1993 M5253EVBE_config : unconfig 1993 M5253EVBE_config : unconfig
1994 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5253evbe freescale 1994 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5253evbe freescale
1995 1995
1996 cobra5272_config : unconfig 1996 cobra5272_config : unconfig
1997 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 cobra5272 1997 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 cobra5272
1998 1998
1999 EB+MCF-EV123_config : unconfig 1999 EB+MCF-EV123_config : unconfig
2000 @mkdir -p $(obj)include 2000 @mkdir -p $(obj)include
2001 @mkdir -p $(obj)board/BuS/EB+MCF-EV123 2001 @mkdir -p $(obj)board/BuS/EB+MCF-EV123
2002 @echo "TEXT_BASE = 0xFFE00000"|tee $(obj)board/BuS/EB+MCF-EV123/textbase.mk 2002 @echo "TEXT_BASE = 0xFFE00000"|tee $(obj)board/BuS/EB+MCF-EV123/textbase.mk
2003 @$(MKCONFIG) EB+MCF-EV123 m68k mcf52x2 EB+MCF-EV123 BuS 2003 @$(MKCONFIG) EB+MCF-EV123 m68k mcf52x2 EB+MCF-EV123 BuS
2004 2004
2005 EB+MCF-EV123_internal_config : unconfig 2005 EB+MCF-EV123_internal_config : unconfig
2006 @mkdir -p $(obj)include 2006 @mkdir -p $(obj)include
2007 @mkdir -p $(obj)board/BuS/EB+MCF-EV123 2007 @mkdir -p $(obj)board/BuS/EB+MCF-EV123
2008 @echo "TEXT_BASE = 0xF0000000"|tee $(obj)board/BuS/EB+MCF-EV123/textbase.mk 2008 @echo "TEXT_BASE = 0xF0000000"|tee $(obj)board/BuS/EB+MCF-EV123/textbase.mk
2009 @$(MKCONFIG) EB+MCF-EV123 m68k mcf52x2 EB+MCF-EV123 BuS 2009 @$(MKCONFIG) EB+MCF-EV123 m68k mcf52x2 EB+MCF-EV123 BuS
2010 2010
2011 idmr_config : unconfig 2011 idmr_config : unconfig
2012 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 idmr 2012 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 idmr
2013 2013
2014 M5271EVB_config : unconfig 2014 M5271EVB_config : unconfig
2015 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5271evb freescale 2015 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5271evb freescale
2016 2016
2017 M5272C3_config : unconfig 2017 M5272C3_config : unconfig
2018 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5272c3 freescale 2018 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5272c3 freescale
2019 2019
2020 M5275EVB_config : unconfig 2020 M5275EVB_config : unconfig
2021 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5275evb freescale 2021 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5275evb freescale
2022 2022
2023 M5282EVB_config : unconfig 2023 M5282EVB_config : unconfig
2024 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5282evb freescale 2024 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 m5282evb freescale
2025 2025
2026 M53017EVB_config : unconfig 2026 M53017EVB_config : unconfig
2027 @$(MKCONFIG) $(@:_config=) m68k mcf532x m53017evb freescale 2027 @$(MKCONFIG) $(@:_config=) m68k mcf532x m53017evb freescale
2028 2028
2029 M5329AFEE_config \ 2029 M5329AFEE_config \
2030 M5329BFEE_config : unconfig 2030 M5329BFEE_config : unconfig
2031 @case "$@" in \ 2031 @case "$@" in \
2032 M5329AFEE_config) NAND=0;; \ 2032 M5329AFEE_config) NAND=0;; \
2033 M5329BFEE_config) NAND=16;; \ 2033 M5329BFEE_config) NAND=16;; \
2034 esac; \ 2034 esac; \
2035 if [ "$${NAND}" != "0" ] ; then \ 2035 if [ "$${NAND}" != "0" ] ; then \
2036 echo "#define NANDFLASH_SIZE $${NAND}" > $(obj)include/config.h ; \ 2036 echo "#define NANDFLASH_SIZE $${NAND}" > $(obj)include/config.h ; \
2037 fi 2037 fi
2038 @$(MKCONFIG) -a M5329EVB m68k mcf532x m5329evb freescale 2038 @$(MKCONFIG) -a M5329EVB m68k mcf532x m5329evb freescale
2039 2039
2040 M5373EVB_config : unconfig 2040 M5373EVB_config : unconfig
2041 @case "$@" in \ 2041 @case "$@" in \
2042 M5373EVB_config) NAND=16;; \ 2042 M5373EVB_config) NAND=16;; \
2043 esac; \ 2043 esac; \
2044 if [ "$${NAND}" != "0" ] ; then \ 2044 if [ "$${NAND}" != "0" ] ; then \
2045 echo "#define NANDFLASH_SIZE $${NAND}" > $(obj)include/config.h ; \ 2045 echo "#define NANDFLASH_SIZE $${NAND}" > $(obj)include/config.h ; \
2046 fi 2046 fi
2047 @$(MKCONFIG) -a M5373EVB m68k mcf532x m5373evb freescale 2047 @$(MKCONFIG) -a M5373EVB m68k mcf532x m5373evb freescale
2048 2048
2049 M54451EVB_config \ 2049 M54451EVB_config \
2050 M54451EVB_spansion_config \ 2050 M54451EVB_spansion_config \
2051 M54451EVB_stmicro_config : unconfig 2051 M54451EVB_stmicro_config : unconfig
2052 @case "$@" in \ 2052 @case "$@" in \
2053 M54451EVB_config) FLASH=SPANSION;; \ 2053 M54451EVB_config) FLASH=SPANSION;; \
2054 M54451EVB_spansion_config) FLASH=SPANSION;; \ 2054 M54451EVB_spansion_config) FLASH=SPANSION;; \
2055 M54451EVB_stmicro_config) FLASH=STMICRO;; \ 2055 M54451EVB_stmicro_config) FLASH=STMICRO;; \
2056 esac; \ 2056 esac; \
2057 if [ "$${FLASH}" = "SPANSION" ] ; then \ 2057 if [ "$${FLASH}" = "SPANSION" ] ; then \
2058 echo "#define CONFIG_SYS_SPANSION_BOOT" >> $(obj)include/config.h ; \ 2058 echo "#define CONFIG_SYS_SPANSION_BOOT" >> $(obj)include/config.h ; \
2059 echo "TEXT_BASE = 0x00000000" > $(obj)board/freescale/m54451evb/config.tmp ; \ 2059 echo "TEXT_BASE = 0x00000000" > $(obj)board/freescale/m54451evb/config.tmp ; \
2060 cp $(obj)board/freescale/m54451evb/u-boot.spa $(obj)board/freescale/m54451evb/u-boot.lds ; \ 2060 cp $(obj)board/freescale/m54451evb/u-boot.spa $(obj)board/freescale/m54451evb/u-boot.lds ; \
2061 $(XECHO) "... with SPANSION boot..." ; \ 2061 $(XECHO) "... with SPANSION boot..." ; \
2062 fi; \ 2062 fi; \
2063 if [ "$${FLASH}" = "STMICRO" ] ; then \ 2063 if [ "$${FLASH}" = "STMICRO" ] ; then \
2064 echo "#define CONFIG_CF_SBF" >> $(obj)include/config.h ; \ 2064 echo "#define CONFIG_CF_SBF" >> $(obj)include/config.h ; \
2065 echo "#define CONFIG_SYS_STMICRO_BOOT" >> $(obj)include/config.h ; \ 2065 echo "#define CONFIG_SYS_STMICRO_BOOT" >> $(obj)include/config.h ; \
2066 echo "TEXT_BASE = 0x47E00000" > $(obj)board/freescale/m54451evb/config.tmp ; \ 2066 echo "TEXT_BASE = 0x47E00000" > $(obj)board/freescale/m54451evb/config.tmp ; \
2067 cp $(obj)board/freescale/m54451evb/u-boot.stm $(obj)board/freescale/m54451evb/u-boot.lds ; \ 2067 cp $(obj)board/freescale/m54451evb/u-boot.stm $(obj)board/freescale/m54451evb/u-boot.lds ; \
2068 $(XECHO) "... with ST Micro boot..." ; \ 2068 $(XECHO) "... with ST Micro boot..." ; \
2069 fi; \ 2069 fi; \
2070 echo "#define CONFIG_SYS_INPUT_CLKSRC 24000000" >> $(obj)include/config.h ; 2070 echo "#define CONFIG_SYS_INPUT_CLKSRC 24000000" >> $(obj)include/config.h ;
2071 @$(MKCONFIG) -a M54451EVB m68k mcf5445x m54451evb freescale 2071 @$(MKCONFIG) -a M54451EVB m68k mcf5445x m54451evb freescale
2072 2072
2073 M54455EVB_config \ 2073 M54455EVB_config \
2074 M54455EVB_atmel_config \ 2074 M54455EVB_atmel_config \
2075 M54455EVB_intel_config \ 2075 M54455EVB_intel_config \
2076 M54455EVB_a33_config \ 2076 M54455EVB_a33_config \
2077 M54455EVB_a66_config \ 2077 M54455EVB_a66_config \
2078 M54455EVB_i33_config \ 2078 M54455EVB_i33_config \
2079 M54455EVB_i66_config \ 2079 M54455EVB_i66_config \
2080 M54455EVB_stm33_config : unconfig 2080 M54455EVB_stm33_config : unconfig
2081 @case "$@" in \ 2081 @case "$@" in \
2082 M54455EVB_config) FLASH=ATMEL; FREQ=33333333;; \ 2082 M54455EVB_config) FLASH=ATMEL; FREQ=33333333;; \
2083 M54455EVB_atmel_config) FLASH=ATMEL; FREQ=33333333;; \ 2083 M54455EVB_atmel_config) FLASH=ATMEL; FREQ=33333333;; \
2084 M54455EVB_intel_config) FLASH=INTEL; FREQ=33333333;; \ 2084 M54455EVB_intel_config) FLASH=INTEL; FREQ=33333333;; \
2085 M54455EVB_a33_config) FLASH=ATMEL; FREQ=33333333;; \ 2085 M54455EVB_a33_config) FLASH=ATMEL; FREQ=33333333;; \
2086 M54455EVB_a66_config) FLASH=ATMEL; FREQ=66666666;; \ 2086 M54455EVB_a66_config) FLASH=ATMEL; FREQ=66666666;; \
2087 M54455EVB_i33_config) FLASH=INTEL; FREQ=33333333;; \ 2087 M54455EVB_i33_config) FLASH=INTEL; FREQ=33333333;; \
2088 M54455EVB_i66_config) FLASH=INTEL; FREQ=66666666;; \ 2088 M54455EVB_i66_config) FLASH=INTEL; FREQ=66666666;; \
2089 M54455EVB_stm33_config) FLASH=STMICRO; FREQ=33333333;; \ 2089 M54455EVB_stm33_config) FLASH=STMICRO; FREQ=33333333;; \
2090 esac; \ 2090 esac; \
2091 if [ "$${FLASH}" = "INTEL" ] ; then \ 2091 if [ "$${FLASH}" = "INTEL" ] ; then \
2092 echo "#define CONFIG_SYS_INTEL_BOOT" >> $(obj)include/config.h ; \ 2092 echo "#define CONFIG_SYS_INTEL_BOOT" >> $(obj)include/config.h ; \
2093 echo "TEXT_BASE = 0x00000000" > $(obj)board/freescale/m54455evb/config.tmp ; \ 2093 echo "TEXT_BASE = 0x00000000" > $(obj)board/freescale/m54455evb/config.tmp ; \
2094 cp $(obj)board/freescale/m54455evb/u-boot.int $(obj)board/freescale/m54455evb/u-boot.lds ; \ 2094 cp $(obj)board/freescale/m54455evb/u-boot.int $(obj)board/freescale/m54455evb/u-boot.lds ; \
2095 $(XECHO) "... with INTEL boot..." ; \ 2095 $(XECHO) "... with INTEL boot..." ; \
2096 fi; \ 2096 fi; \
2097 if [ "$${FLASH}" = "ATMEL" ] ; then \ 2097 if [ "$${FLASH}" = "ATMEL" ] ; then \
2098 echo "#define CONFIG_SYS_ATMEL_BOOT" >> $(obj)include/config.h ; \ 2098 echo "#define CONFIG_SYS_ATMEL_BOOT" >> $(obj)include/config.h ; \
2099 echo "TEXT_BASE = 0x04000000" > $(obj)board/freescale/m54455evb/config.tmp ; \ 2099 echo "TEXT_BASE = 0x04000000" > $(obj)board/freescale/m54455evb/config.tmp ; \
2100 cp $(obj)board/freescale/m54455evb/u-boot.atm $(obj)board/freescale/m54455evb/u-boot.lds ; \ 2100 cp $(obj)board/freescale/m54455evb/u-boot.atm $(obj)board/freescale/m54455evb/u-boot.lds ; \
2101 $(XECHO) "... with ATMEL boot..." ; \ 2101 $(XECHO) "... with ATMEL boot..." ; \
2102 fi; \ 2102 fi; \
2103 if [ "$${FLASH}" = "STMICRO" ] ; then \ 2103 if [ "$${FLASH}" = "STMICRO" ] ; then \
2104 echo "#define CONFIG_CF_SBF" >> $(obj)include/config.h ; \ 2104 echo "#define CONFIG_CF_SBF" >> $(obj)include/config.h ; \
2105 echo "#define CONFIG_SYS_STMICRO_BOOT" >> $(obj)include/config.h ; \ 2105 echo "#define CONFIG_SYS_STMICRO_BOOT" >> $(obj)include/config.h ; \
2106 echo "TEXT_BASE = 0x4FE00000" > $(obj)board/freescale/m54455evb/config.tmp ; \ 2106 echo "TEXT_BASE = 0x4FE00000" > $(obj)board/freescale/m54455evb/config.tmp ; \
2107 cp $(obj)board/freescale/m54455evb/u-boot.stm $(obj)board/freescale/m54455evb/u-boot.lds ; \ 2107 cp $(obj)board/freescale/m54455evb/u-boot.stm $(obj)board/freescale/m54455evb/u-boot.lds ; \
2108 $(XECHO) "... with ST Micro boot..." ; \ 2108 $(XECHO) "... with ST Micro boot..." ; \
2109 fi; \ 2109 fi; \
2110 echo "#define CONFIG_SYS_INPUT_CLKSRC $${FREQ}" >> $(obj)include/config.h ; \ 2110 echo "#define CONFIG_SYS_INPUT_CLKSRC $${FREQ}" >> $(obj)include/config.h ; \
2111 $(XECHO) "... with $${FREQ}Hz input clock" 2111 $(XECHO) "... with $${FREQ}Hz input clock"
2112 @$(MKCONFIG) -a M54455EVB m68k mcf5445x m54455evb freescale 2112 @$(MKCONFIG) -a M54455EVB m68k mcf5445x m54455evb freescale
2113 2113
2114 M5475AFE_config \ 2114 M5475AFE_config \
2115 M5475BFE_config \ 2115 M5475BFE_config \
2116 M5475CFE_config \ 2116 M5475CFE_config \
2117 M5475DFE_config \ 2117 M5475DFE_config \
2118 M5475EFE_config \ 2118 M5475EFE_config \
2119 M5475FFE_config \ 2119 M5475FFE_config \
2120 M5475GFE_config : unconfig 2120 M5475GFE_config : unconfig
2121 @case "$@" in \ 2121 @case "$@" in \
2122 M5475AFE_config) BOOT=2;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \ 2122 M5475AFE_config) BOOT=2;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \
2123 M5475BFE_config) BOOT=2;CODE=16;VID=0;USB=0;RAM=64;RAM1=0;; \ 2123 M5475BFE_config) BOOT=2;CODE=16;VID=0;USB=0;RAM=64;RAM1=0;; \
2124 M5475CFE_config) BOOT=2;CODE=16;VID=1;USB=1;RAM=64;RAM1=0;; \ 2124 M5475CFE_config) BOOT=2;CODE=16;VID=1;USB=1;RAM=64;RAM1=0;; \
2125 M5475DFE_config) BOOT=2;CODE=0;VID=0;USB=1;RAM=64;RAM1=0;; \ 2125 M5475DFE_config) BOOT=2;CODE=0;VID=0;USB=1;RAM=64;RAM1=0;; \
2126 M5475EFE_config) BOOT=2;CODE=0;VID=1;USB=1;RAM=64;RAM1=0;; \ 2126 M5475EFE_config) BOOT=2;CODE=0;VID=1;USB=1;RAM=64;RAM1=0;; \
2127 M5475FFE_config) BOOT=2;CODE=32;VID=1;USB=1;RAM=64;RAM1=64;; \ 2127 M5475FFE_config) BOOT=2;CODE=32;VID=1;USB=1;RAM=64;RAM1=64;; \
2128 M5475GFE_config) BOOT=4;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \ 2128 M5475GFE_config) BOOT=4;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \
2129 esac; \ 2129 esac; \
2130 echo "#define CONFIG_SYS_BUSCLK 133333333" > $(obj)include/config.h ; \ 2130 echo "#define CONFIG_SYS_BUSCLK 133333333" > $(obj)include/config.h ; \
2131 echo "#define CONFIG_SYS_BOOTSZ $${BOOT}" >> $(obj)include/config.h ; \ 2131 echo "#define CONFIG_SYS_BOOTSZ $${BOOT}" >> $(obj)include/config.h ; \
2132 echo "#define CONFIG_SYS_DRAMSZ $${RAM}" >> $(obj)include/config.h ; \ 2132 echo "#define CONFIG_SYS_DRAMSZ $${RAM}" >> $(obj)include/config.h ; \
2133 if [ "$${RAM1}" != "0" ] ; then \ 2133 if [ "$${RAM1}" != "0" ] ; then \
2134 echo "#define CONFIG_SYS_DRAMSZ1 $${RAM1}" >> $(obj)include/config.h ; \ 2134 echo "#define CONFIG_SYS_DRAMSZ1 $${RAM1}" >> $(obj)include/config.h ; \
2135 fi; \ 2135 fi; \
2136 if [ "$${CODE}" != "0" ] ; then \ 2136 if [ "$${CODE}" != "0" ] ; then \
2137 echo "#define CONFIG_SYS_NOR1SZ $${CODE}" >> $(obj)include/config.h ; \ 2137 echo "#define CONFIG_SYS_NOR1SZ $${CODE}" >> $(obj)include/config.h ; \
2138 fi; \ 2138 fi; \
2139 if [ "$${VID}" == "1" ] ; then \ 2139 if [ "$${VID}" == "1" ] ; then \
2140 echo "#define CONFIG_SYS_VIDEO" >> $(obj)include/config.h ; \ 2140 echo "#define CONFIG_SYS_VIDEO" >> $(obj)include/config.h ; \
2141 fi; \ 2141 fi; \
2142 if [ "$${USB}" == "1" ] ; then \ 2142 if [ "$${USB}" == "1" ] ; then \
2143 echo "#define CONFIG_SYS_USBCTRL" >> $(obj)include/config.h ; \ 2143 echo "#define CONFIG_SYS_USBCTRL" >> $(obj)include/config.h ; \
2144 fi 2144 fi
2145 @$(MKCONFIG) -a M5475EVB m68k mcf547x_8x m547xevb freescale 2145 @$(MKCONFIG) -a M5475EVB m68k mcf547x_8x m547xevb freescale
2146 2146
2147 M5485AFE_config \ 2147 M5485AFE_config \
2148 M5485BFE_config \ 2148 M5485BFE_config \
2149 M5485CFE_config \ 2149 M5485CFE_config \
2150 M5485DFE_config \ 2150 M5485DFE_config \
2151 M5485EFE_config \ 2151 M5485EFE_config \
2152 M5485FFE_config \ 2152 M5485FFE_config \
2153 M5485GFE_config \ 2153 M5485GFE_config \
2154 M5485HFE_config : unconfig 2154 M5485HFE_config : unconfig
2155 @case "$@" in \ 2155 @case "$@" in \
2156 M5485AFE_config) BOOT=2;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \ 2156 M5485AFE_config) BOOT=2;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \
2157 M5485BFE_config) BOOT=2;CODE=16;VID=0;USB=0;RAM=64;RAM1=0;; \ 2157 M5485BFE_config) BOOT=2;CODE=16;VID=0;USB=0;RAM=64;RAM1=0;; \
2158 M5485CFE_config) BOOT=2;CODE=16;VID=1;USB=1;RAM=64;RAM1=0;; \ 2158 M5485CFE_config) BOOT=2;CODE=16;VID=1;USB=1;RAM=64;RAM1=0;; \
2159 M5485DFE_config) BOOT=2;CODE=0;VID=0;USB=1;RAM=64;RAM1=0;; \ 2159 M5485DFE_config) BOOT=2;CODE=0;VID=0;USB=1;RAM=64;RAM1=0;; \
2160 M5485EFE_config) BOOT=2;CODE=0;VID=1;USB=1;RAM=64;RAM1=0;; \ 2160 M5485EFE_config) BOOT=2;CODE=0;VID=1;USB=1;RAM=64;RAM1=0;; \
2161 M5485FFE_config) BOOT=2;CODE=32;VID=1;USB=1;RAM=64;RAM1=64;; \ 2161 M5485FFE_config) BOOT=2;CODE=32;VID=1;USB=1;RAM=64;RAM1=64;; \
2162 M5485GFE_config) BOOT=4;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \ 2162 M5485GFE_config) BOOT=4;CODE=0;VID=0;USB=0;RAM=64;RAM1=0;; \
2163 M5485HFE_config) BOOT=2;CODE=16;VID=1;USB=0;RAM=64;RAM1=0;; \ 2163 M5485HFE_config) BOOT=2;CODE=16;VID=1;USB=0;RAM=64;RAM1=0;; \
2164 esac; \ 2164 esac; \
2165 echo "#define CONFIG_SYS_BUSCLK 100000000" > $(obj)include/config.h ; \ 2165 echo "#define CONFIG_SYS_BUSCLK 100000000" > $(obj)include/config.h ; \
2166 echo "#define CONFIG_SYS_BOOTSZ $${BOOT}" >> $(obj)include/config.h ; \ 2166 echo "#define CONFIG_SYS_BOOTSZ $${BOOT}" >> $(obj)include/config.h ; \
2167 echo "#define CONFIG_SYS_DRAMSZ $${RAM}" >> $(obj)include/config.h ; \ 2167 echo "#define CONFIG_SYS_DRAMSZ $${RAM}" >> $(obj)include/config.h ; \
2168 if [ "$${RAM1}" != "0" ] ; then \ 2168 if [ "$${RAM1}" != "0" ] ; then \
2169 echo "#define CONFIG_SYS_DRAMSZ1 $${RAM1}" >> $(obj)include/config.h ; \ 2169 echo "#define CONFIG_SYS_DRAMSZ1 $${RAM1}" >> $(obj)include/config.h ; \
2170 fi; \ 2170 fi; \
2171 if [ "$${CODE}" != "0" ] ; then \ 2171 if [ "$${CODE}" != "0" ] ; then \
2172 echo "#define CONFIG_SYS_NOR1SZ $${CODE}" >> $(obj)include/config.h ; \ 2172 echo "#define CONFIG_SYS_NOR1SZ $${CODE}" >> $(obj)include/config.h ; \
2173 fi; \ 2173 fi; \
2174 if [ "$${VID}" == "1" ] ; then \ 2174 if [ "$${VID}" == "1" ] ; then \
2175 echo "#define CONFIG_SYS_VIDEO" >> $(obj)include/config.h ; \ 2175 echo "#define CONFIG_SYS_VIDEO" >> $(obj)include/config.h ; \
2176 fi; \ 2176 fi; \
2177 if [ "$${USB}" == "1" ] ; then \ 2177 if [ "$${USB}" == "1" ] ; then \
2178 echo "#define CONFIG_SYS_USBCTRL" >> $(obj)include/config.h ; \ 2178 echo "#define CONFIG_SYS_USBCTRL" >> $(obj)include/config.h ; \
2179 fi 2179 fi
2180 @$(MKCONFIG) -a M5485EVB m68k mcf547x_8x m548xevb freescale 2180 @$(MKCONFIG) -a M5485EVB m68k mcf547x_8x m548xevb freescale
2181 2181
2182 TASREG_config : unconfig 2182 TASREG_config : unconfig
2183 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 tasreg esd 2183 @$(MKCONFIG) $(@:_config=) m68k mcf52x2 tasreg esd
2184 2184
2185 ######################################################################### 2185 #########################################################################
2186 ## MPC83xx Systems 2186 ## MPC83xx Systems
2187 ######################################################################### 2187 #########################################################################
2188 2188
2189 kmeter1_config: unconfig 2189 kmeter1_config: unconfig
2190 @$(MKCONFIG) kmeter1 ppc mpc83xx kmeter1 keymile 2190 @$(MKCONFIG) kmeter1 ppc mpc83xx kmeter1 keymile
2191 2191
2192 MPC8313ERDB_33_config \ 2192 MPC8313ERDB_33_config \
2193 MPC8313ERDB_66_config \ 2193 MPC8313ERDB_66_config \
2194 MPC8313ERDB_NAND_33_config \ 2194 MPC8313ERDB_NAND_33_config \
2195 MPC8313ERDB_NAND_66_config: unconfig 2195 MPC8313ERDB_NAND_66_config: unconfig
2196 @mkdir -p $(obj)include 2196 @mkdir -p $(obj)include
2197 @mkdir -p $(obj)board/freescale/mpc8313erdb 2197 @mkdir -p $(obj)board/freescale/mpc8313erdb
2198 @if [ "$(findstring _33_,$@)" ] ; then \ 2198 @if [ "$(findstring _33_,$@)" ] ; then \
2199 $(XECHO) -n "...33M ..." ; \ 2199 $(XECHO) -n "...33M ..." ; \
2200 echo "#define CONFIG_SYS_33MHZ" >>$(obj)include/config.h ; \ 2200 echo "#define CONFIG_SYS_33MHZ" >>$(obj)include/config.h ; \
2201 fi ; \ 2201 fi ; \
2202 if [ "$(findstring _66_,$@)" ] ; then \ 2202 if [ "$(findstring _66_,$@)" ] ; then \
2203 $(XECHO) -n "...66M..." ; \ 2203 $(XECHO) -n "...66M..." ; \
2204 echo "#define CONFIG_SYS_66MHZ" >>$(obj)include/config.h ; \ 2204 echo "#define CONFIG_SYS_66MHZ" >>$(obj)include/config.h ; \
2205 fi ; \ 2205 fi ; \
2206 if [ "$(findstring _NAND_,$@)" ] ; then \ 2206 if [ "$(findstring _NAND_,$@)" ] ; then \
2207 $(XECHO) -n "...NAND..." ; \ 2207 $(XECHO) -n "...NAND..." ; \
2208 echo "TEXT_BASE = 0x00100000" > $(obj)board/freescale/mpc8313erdb/config.tmp ; \ 2208 echo "TEXT_BASE = 0x00100000" > $(obj)board/freescale/mpc8313erdb/config.tmp ; \
2209 echo "#define CONFIG_NAND_U_BOOT" >>$(obj)include/config.h ; \ 2209 echo "#define CONFIG_NAND_U_BOOT" >>$(obj)include/config.h ; \
2210 fi ; 2210 fi ;
2211 @$(MKCONFIG) -a MPC8313ERDB ppc mpc83xx mpc8313erdb freescale 2211 @$(MKCONFIG) -a MPC8313ERDB ppc mpc83xx mpc8313erdb freescale
2212 @if [ "$(findstring _NAND_,$@)" ] ; then \ 2212 @if [ "$(findstring _NAND_,$@)" ] ; then \
2213 echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk ; \ 2213 echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk ; \
2214 fi ; 2214 fi ;
2215 2215
2216 MPC8315ERDB_config: unconfig 2216 MPC8315ERDB_config: unconfig
2217 @$(MKCONFIG) -a MPC8315ERDB ppc mpc83xx mpc8315erdb freescale 2217 @$(MKCONFIG) -a MPC8315ERDB ppc mpc83xx mpc8315erdb freescale
2218 2218
2219 MPC8323ERDB_config: unconfig 2219 MPC8323ERDB_config: unconfig
2220 @$(MKCONFIG) -a MPC8323ERDB ppc mpc83xx mpc8323erdb freescale 2220 @$(MKCONFIG) -a MPC8323ERDB ppc mpc83xx mpc8323erdb freescale
2221 2221
2222 MPC832XEMDS_config \ 2222 MPC832XEMDS_config \
2223 MPC832XEMDS_HOST_33_config \ 2223 MPC832XEMDS_HOST_33_config \
2224 MPC832XEMDS_HOST_66_config \ 2224 MPC832XEMDS_HOST_66_config \
2225 MPC832XEMDS_SLAVE_config \ 2225 MPC832XEMDS_SLAVE_config \
2226 MPC832XEMDS_ATM_config: unconfig 2226 MPC832XEMDS_ATM_config: unconfig
2227 @mkdir -p $(obj)include 2227 @mkdir -p $(obj)include
2228 @if [ "$(findstring _HOST_,$@)" ] ; then \ 2228 @if [ "$(findstring _HOST_,$@)" ] ; then \
2229 $(XECHO) -n "... PCI HOST " ; \ 2229 $(XECHO) -n "... PCI HOST " ; \
2230 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \ 2230 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \
2231 fi ; \ 2231 fi ; \
2232 if [ "$(findstring _SLAVE_,$@)" ] ; then \ 2232 if [ "$(findstring _SLAVE_,$@)" ] ; then \
2233 $(XECHO) "...PCI SLAVE 66M" ; \ 2233 $(XECHO) "...PCI SLAVE 66M" ; \
2234 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \ 2234 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \
2235 echo "#define CONFIG_PCISLAVE" >>$(obj)include/config.h ; \ 2235 echo "#define CONFIG_PCISLAVE" >>$(obj)include/config.h ; \
2236 fi ; \ 2236 fi ; \
2237 if [ "$(findstring _33_,$@)" ] ; then \ 2237 if [ "$(findstring _33_,$@)" ] ; then \
2238 $(XECHO) -n "...33M ..." ; \ 2238 $(XECHO) -n "...33M ..." ; \
2239 echo "#define PCI_33M" >>$(obj)include/config.h ; \ 2239 echo "#define PCI_33M" >>$(obj)include/config.h ; \
2240 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \ 2240 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \
2241 fi ; \ 2241 fi ; \
2242 if [ "$(findstring _66_,$@)" ] ; then \ 2242 if [ "$(findstring _66_,$@)" ] ; then \
2243 $(XECHO) -n "...66M..." ; \ 2243 $(XECHO) -n "...66M..." ; \
2244 echo "#define PCI_66M" >>$(obj)include/config.h ; \ 2244 echo "#define PCI_66M" >>$(obj)include/config.h ; \
2245 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \ 2245 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \
2246 fi ; \ 2246 fi ; \
2247 if [ "$(findstring _ATM_,$@)" ] ; then \ 2247 if [ "$(findstring _ATM_,$@)" ] ; then \
2248 $(XECHO) -n "...ATM..." ; \ 2248 $(XECHO) -n "...ATM..." ; \
2249 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \ 2249 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \
2250 echo "#define CONFIG_PQ_MDS_PIB_ATM 1" >>$(obj)include/config.h ; \ 2250 echo "#define CONFIG_PQ_MDS_PIB_ATM 1" >>$(obj)include/config.h ; \
2251 fi ; 2251 fi ;
2252 @$(MKCONFIG) -a MPC832XEMDS ppc mpc83xx mpc832xemds freescale 2252 @$(MKCONFIG) -a MPC832XEMDS ppc mpc83xx mpc832xemds freescale
2253 2253
2254 MPC8349EMDS_config: unconfig 2254 MPC8349EMDS_config: unconfig
2255 @$(MKCONFIG) $(@:_config=) ppc mpc83xx mpc8349emds freescale 2255 @$(MKCONFIG) $(@:_config=) ppc mpc83xx mpc8349emds freescale
2256 2256
2257 MPC8349ITX_config \ 2257 MPC8349ITX_config \
2258 MPC8349ITX_LOWBOOT_config \ 2258 MPC8349ITX_LOWBOOT_config \
2259 MPC8349ITXGP_config: unconfig 2259 MPC8349ITXGP_config: unconfig
2260 @mkdir -p $(obj)include 2260 @mkdir -p $(obj)include
2261 @mkdir -p $(obj)board/freescale/mpc8349itx 2261 @mkdir -p $(obj)board/freescale/mpc8349itx
2262 @echo "#define CONFIG_$(subst _LOWBOOT,,$(@:_config=))" >> $(obj)include/config.h 2262 @echo "#define CONFIG_$(subst _LOWBOOT,,$(@:_config=))" >> $(obj)include/config.h
2263 @if [ "$(findstring GP,$@)" ] ; then \ 2263 @if [ "$(findstring GP,$@)" ] ; then \
2264 echo "TEXT_BASE = 0xFE000000" >$(obj)board/freescale/mpc8349itx/config.tmp ; \ 2264 echo "TEXT_BASE = 0xFE000000" >$(obj)board/freescale/mpc8349itx/config.tmp ; \
2265 fi 2265 fi
2266 @if [ "$(findstring LOWBOOT,$@)" ] ; then \ 2266 @if [ "$(findstring LOWBOOT,$@)" ] ; then \
2267 echo "TEXT_BASE = 0xFE000000" >$(obj)board/freescale/mpc8349itx/config.tmp ; \ 2267 echo "TEXT_BASE = 0xFE000000" >$(obj)board/freescale/mpc8349itx/config.tmp ; \
2268 fi 2268 fi
2269 @$(MKCONFIG) -a -n $(@:_config=) MPC8349ITX ppc mpc83xx mpc8349itx freescale 2269 @$(MKCONFIG) -a -n $(@:_config=) MPC8349ITX ppc mpc83xx mpc8349itx freescale
2270 2270
2271 MPC8360EMDS_config \ 2271 MPC8360EMDS_config \
2272 MPC8360EMDS_HOST_33_config \ 2272 MPC8360EMDS_HOST_33_config \
2273 MPC8360EMDS_HOST_66_config \ 2273 MPC8360EMDS_HOST_66_config \
2274 MPC8360EMDS_SLAVE_config \ 2274 MPC8360EMDS_SLAVE_config \
2275 MPC8360EMDS_ATM_config: unconfig 2275 MPC8360EMDS_ATM_config: unconfig
2276 @mkdir -p $(obj)include 2276 @mkdir -p $(obj)include
2277 @if [ "$(findstring _HOST_,$@)" ] ; then \ 2277 @if [ "$(findstring _HOST_,$@)" ] ; then \
2278 $(XECHO) -n "... PCI HOST " ; \ 2278 $(XECHO) -n "... PCI HOST " ; \
2279 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \ 2279 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \
2280 fi ; \ 2280 fi ; \
2281 if [ "$(findstring _SLAVE_,$@)" ] ; then \ 2281 if [ "$(findstring _SLAVE_,$@)" ] ; then \
2282 $(XECHO) "...PCI SLAVE 66M" ; \ 2282 $(XECHO) "...PCI SLAVE 66M" ; \
2283 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \ 2283 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \
2284 echo "#define CONFIG_PCISLAVE" >>$(obj)include/config.h ; \ 2284 echo "#define CONFIG_PCISLAVE" >>$(obj)include/config.h ; \
2285 fi ; \ 2285 fi ; \
2286 if [ "$(findstring _33_,$@)" ] ; then \ 2286 if [ "$(findstring _33_,$@)" ] ; then \
2287 $(XECHO) -n "...33M ..." ; \ 2287 $(XECHO) -n "...33M ..." ; \
2288 echo "#define PCI_33M" >>$(obj)include/config.h ; \ 2288 echo "#define PCI_33M" >>$(obj)include/config.h ; \
2289 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \ 2289 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \
2290 fi ; \ 2290 fi ; \
2291 if [ "$(findstring _66_,$@)" ] ; then \ 2291 if [ "$(findstring _66_,$@)" ] ; then \
2292 $(XECHO) -n "...66M..." ; \ 2292 $(XECHO) -n "...66M..." ; \
2293 echo "#define PCI_66M" >>$(obj)include/config.h ; \ 2293 echo "#define PCI_66M" >>$(obj)include/config.h ; \
2294 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \ 2294 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \
2295 fi ; \ 2295 fi ; \
2296 if [ "$(findstring _ATM_,$@)" ] ; then \ 2296 if [ "$(findstring _ATM_,$@)" ] ; then \
2297 $(XECHO) -n "...ATM..." ; \ 2297 $(XECHO) -n "...ATM..." ; \
2298 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \ 2298 echo "#define CONFIG_PQ_MDS_PIB 1" >>$(obj)include/config.h ; \
2299 echo "#define CONFIG_PQ_MDS_PIB_ATM 1" >>$(obj)include/config.h ; \ 2299 echo "#define CONFIG_PQ_MDS_PIB_ATM 1" >>$(obj)include/config.h ; \
2300 fi ; 2300 fi ;
2301 @$(MKCONFIG) -a MPC8360EMDS ppc mpc83xx mpc8360emds freescale 2301 @$(MKCONFIG) -a MPC8360EMDS ppc mpc83xx mpc8360emds freescale
2302 2302
2303 MPC8360ERDK_33_config \ 2303 MPC8360ERDK_33_config \
2304 MPC8360ERDK_66_config \ 2304 MPC8360ERDK_66_config \
2305 MPC8360ERDK_config: unconfig 2305 MPC8360ERDK_config: unconfig
2306 @mkdir -p $(obj)include 2306 @mkdir -p $(obj)include
2307 @if [ "$(findstring _33_,$@)" ] ; then \ 2307 @if [ "$(findstring _33_,$@)" ] ; then \
2308 $(XECHO) -n "... CLKIN 33MHz " ; \ 2308 $(XECHO) -n "... CLKIN 33MHz " ; \
2309 echo "#define CONFIG_CLKIN_33MHZ" >>$(obj)include/config.h ;\ 2309 echo "#define CONFIG_CLKIN_33MHZ" >>$(obj)include/config.h ;\
2310 fi ; 2310 fi ;
2311 @$(MKCONFIG) -a MPC8360ERDK ppc mpc83xx mpc8360erdk freescale 2311 @$(MKCONFIG) -a MPC8360ERDK ppc mpc83xx mpc8360erdk freescale
2312 2312
2313 MPC837XEMDS_config \ 2313 MPC837XEMDS_config \
2314 MPC837XEMDS_HOST_config: unconfig 2314 MPC837XEMDS_HOST_config: unconfig
2315 @mkdir -p $(obj)include 2315 @mkdir -p $(obj)include
2316 @if [ "$(findstring _HOST_,$@)" ] ; then \ 2316 @if [ "$(findstring _HOST_,$@)" ] ; then \
2317 $(XECHO) -n "... PCI HOST " ; \ 2317 $(XECHO) -n "... PCI HOST " ; \
2318 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \ 2318 echo "#define CONFIG_PCI" >>$(obj)include/config.h ; \
2319 fi ; 2319 fi ;
2320 @$(MKCONFIG) -a MPC837XEMDS ppc mpc83xx mpc837xemds freescale 2320 @$(MKCONFIG) -a MPC837XEMDS ppc mpc83xx mpc837xemds freescale
2321 2321
2322 MPC837XERDB_config: unconfig 2322 MPC837XERDB_config: unconfig
2323 @$(MKCONFIG) -a MPC837XERDB ppc mpc83xx mpc837xerdb freescale 2323 @$(MKCONFIG) -a MPC837XERDB ppc mpc83xx mpc837xerdb freescale
2324 2324
2325 MVBLM7_config: unconfig 2325 MVBLM7_config: unconfig
2326 @$(MKCONFIG) $(@:_config=) ppc mpc83xx mvblm7 matrix_vision 2326 @$(MKCONFIG) $(@:_config=) ppc mpc83xx mvblm7 matrix_vision
2327 2327
2328 sbc8349_config: unconfig 2328 sbc8349_config: unconfig
2329 @$(MKCONFIG) $(@:_config=) ppc mpc83xx sbc8349 2329 @$(MKCONFIG) $(@:_config=) ppc mpc83xx sbc8349
2330 2330
2331 SIMPC8313_LP_config \ 2331 SIMPC8313_LP_config \
2332 SIMPC8313_SP_config: unconfig 2332 SIMPC8313_SP_config: unconfig
2333 @mkdir -p $(obj)include 2333 @mkdir -p $(obj)include
2334 @mkdir -p $(obj)board/sheldon/simpc8313 2334 @mkdir -p $(obj)board/sheldon/simpc8313
2335 @if [ "$(findstring _LP_,$@)" ] ; then \ 2335 @if [ "$(findstring _LP_,$@)" ] ; then \
2336 $(XECHO) -n "...Large Page NAND..." ; \ 2336 $(XECHO) -n "...Large Page NAND..." ; \
2337 echo "#define CONFIG_NAND_LP" >> $(obj)include/config.h ; \ 2337 echo "#define CONFIG_NAND_LP" >> $(obj)include/config.h ; \
2338 fi ; \ 2338 fi ; \
2339 if [ "$(findstring _SP_,$@)" ] ; then \ 2339 if [ "$(findstring _SP_,$@)" ] ; then \
2340 $(XECHO) -n "...Small Page NAND..." ; \ 2340 $(XECHO) -n "...Small Page NAND..." ; \
2341 echo "#define CONFIG_NAND_SP" >> $(obj)include/config.h ; \ 2341 echo "#define CONFIG_NAND_SP" >> $(obj)include/config.h ; \
2342 fi ; 2342 fi ;
2343 @$(MKCONFIG) -a SIMPC8313 ppc mpc83xx simpc8313 sheldon 2343 @$(MKCONFIG) -a SIMPC8313 ppc mpc83xx simpc8313 sheldon
2344 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk 2344 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk
2345 2345
2346 TQM834x_config: unconfig 2346 TQM834x_config: unconfig
2347 @$(MKCONFIG) $(@:_config=) ppc mpc83xx tqm834x tqc 2347 @$(MKCONFIG) $(@:_config=) ppc mpc83xx tqm834x tqc
2348 2348
2349 2349
2350 ######################################################################### 2350 #########################################################################
2351 ## MPC85xx Systems 2351 ## MPC85xx Systems
2352 ######################################################################### 2352 #########################################################################
2353 2353
2354 ATUM8548_config: unconfig 2354 ATUM8548_config: unconfig
2355 @$(MKCONFIG) $(@:_config=) ppc mpc85xx atum8548 2355 @$(MKCONFIG) $(@:_config=) ppc mpc85xx atum8548
2356 2356
2357 MPC8536DS_config: unconfig 2357 MPC8536DS_config: unconfig
2358 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8536ds freescale 2358 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8536ds freescale
2359 2359
2360 MPC8540ADS_config: unconfig 2360 MPC8540ADS_config: unconfig
2361 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8540ads freescale 2361 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8540ads freescale
2362 2362
2363 MPC8540EVAL_config \ 2363 MPC8540EVAL_config \
2364 MPC8540EVAL_33_config \ 2364 MPC8540EVAL_33_config \
2365 MPC8540EVAL_66_config \ 2365 MPC8540EVAL_66_config \
2366 MPC8540EVAL_33_slave_config \ 2366 MPC8540EVAL_33_slave_config \
2367 MPC8540EVAL_66_slave_config: unconfig 2367 MPC8540EVAL_66_slave_config: unconfig
2368 @mkdir -p $(obj)include 2368 @mkdir -p $(obj)include
2369 @if [ "$(findstring _33_,$@)" ] ; then \ 2369 @if [ "$(findstring _33_,$@)" ] ; then \
2370 $(XECHO) "... 33 MHz PCI" ; \ 2370 $(XECHO) "... 33 MHz PCI" ; \
2371 else \ 2371 else \
2372 echo "#define CONFIG_SYSCLK_66M" >>$(obj)include/config.h ; \ 2372 echo "#define CONFIG_SYSCLK_66M" >>$(obj)include/config.h ; \
2373 $(XECHO) "... 66 MHz PCI" ; \ 2373 $(XECHO) "... 66 MHz PCI" ; \
2374 fi ; \ 2374 fi ; \
2375 if [ "$(findstring _slave_,$@)" ] ; then \ 2375 if [ "$(findstring _slave_,$@)" ] ; then \
2376 echo "#define CONFIG_PCI_SLAVE" >>$(obj)include/config.h ; \ 2376 echo "#define CONFIG_PCI_SLAVE" >>$(obj)include/config.h ; \
2377 $(XECHO) " slave" ; \ 2377 $(XECHO) " slave" ; \
2378 else \ 2378 else \
2379 $(XECHO) " host" ; \ 2379 $(XECHO) " host" ; \
2380 fi 2380 fi
2381 @$(MKCONFIG) -a MPC8540EVAL ppc mpc85xx mpc8540eval 2381 @$(MKCONFIG) -a MPC8540EVAL ppc mpc85xx mpc8540eval
2382 2382
2383 MPC8560ADS_config: unconfig 2383 MPC8560ADS_config: unconfig
2384 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8560ads freescale 2384 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8560ads freescale
2385 2385
2386 MPC8541CDS_legacy_config \ 2386 MPC8541CDS_legacy_config \
2387 MPC8541CDS_config: unconfig 2387 MPC8541CDS_config: unconfig
2388 @mkdir -p $(obj)include 2388 @mkdir -p $(obj)include
2389 @if [ "$(findstring _legacy_,$@)" ] ; then \ 2389 @if [ "$(findstring _legacy_,$@)" ] ; then \
2390 echo "#define CONFIG_LEGACY" >>$(obj)include/config.h ; \ 2390 echo "#define CONFIG_LEGACY" >>$(obj)include/config.h ; \
2391 $(XECHO) "... legacy" ; \ 2391 $(XECHO) "... legacy" ; \
2392 fi 2392 fi
2393 @$(MKCONFIG) -a MPC8541CDS ppc mpc85xx mpc8541cds freescale 2393 @$(MKCONFIG) -a MPC8541CDS ppc mpc85xx mpc8541cds freescale
2394 2394
2395 MPC8544DS_config: unconfig 2395 MPC8544DS_config: unconfig
2396 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8544ds freescale 2396 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8544ds freescale
2397 2397
2398 MPC8548CDS_legacy_config \ 2398 MPC8548CDS_legacy_config \
2399 MPC8548CDS_config: unconfig 2399 MPC8548CDS_config: unconfig
2400 @mkdir -p $(obj)include 2400 @mkdir -p $(obj)include
2401 @if [ "$(findstring _legacy_,$@)" ] ; then \ 2401 @if [ "$(findstring _legacy_,$@)" ] ; then \
2402 echo "#define CONFIG_LEGACY" >>$(obj)include/config.h ; \ 2402 echo "#define CONFIG_LEGACY" >>$(obj)include/config.h ; \
2403 $(XECHO) "... legacy" ; \ 2403 $(XECHO) "... legacy" ; \
2404 fi 2404 fi
2405 @$(MKCONFIG) -a MPC8548CDS ppc mpc85xx mpc8548cds freescale 2405 @$(MKCONFIG) -a MPC8548CDS ppc mpc85xx mpc8548cds freescale
2406 2406
2407 MPC8555CDS_legacy_config \ 2407 MPC8555CDS_legacy_config \
2408 MPC8555CDS_config: unconfig 2408 MPC8555CDS_config: unconfig
2409 @mkdir -p $(obj)include 2409 @mkdir -p $(obj)include
2410 @if [ "$(findstring _legacy_,$@)" ] ; then \ 2410 @if [ "$(findstring _legacy_,$@)" ] ; then \
2411 echo "#define CONFIG_LEGACY" >>$(obj)include/config.h ; \ 2411 echo "#define CONFIG_LEGACY" >>$(obj)include/config.h ; \
2412 $(XECHO) "... legacy" ; \ 2412 $(XECHO) "... legacy" ; \
2413 fi 2413 fi
2414 @$(MKCONFIG) -a MPC8555CDS ppc mpc85xx mpc8555cds freescale 2414 @$(MKCONFIG) -a MPC8555CDS ppc mpc85xx mpc8555cds freescale
2415 2415
2416 MPC8568MDS_config: unconfig 2416 MPC8568MDS_config: unconfig
2417 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8568mds freescale 2417 @$(MKCONFIG) $(@:_config=) ppc mpc85xx mpc8568mds freescale
2418 2418
2419 MPC8572DS_36BIT_config \ 2419 MPC8572DS_36BIT_config \
2420 MPC8572DS_config: unconfig 2420 MPC8572DS_config: unconfig
2421 @mkdir -p $(obj)include 2421 @mkdir -p $(obj)include
2422 @if [ "$(findstring _36BIT_,$@)" ] ; then \ 2422 @if [ "$(findstring _36BIT_,$@)" ] ; then \
2423 echo "#define CONFIG_PHYS_64BIT" >>$(obj)include/config.h ; \ 2423 echo "#define CONFIG_PHYS_64BIT" >>$(obj)include/config.h ; \
2424 $(XECHO) "... enabling 36-bit physical addressing." ; \ 2424 $(XECHO) "... enabling 36-bit physical addressing." ; \
2425 fi 2425 fi
2426 @$(MKCONFIG) -a MPC8572DS ppc mpc85xx mpc8572ds freescale 2426 @$(MKCONFIG) -a MPC8572DS ppc mpc85xx mpc8572ds freescale
2427 2427
2428 PM854_config: unconfig 2428 PM854_config: unconfig
2429 @$(MKCONFIG) $(@:_config=) ppc mpc85xx pm854 2429 @$(MKCONFIG) $(@:_config=) ppc mpc85xx pm854
2430 2430
2431 PM856_config: unconfig 2431 PM856_config: unconfig
2432 @$(MKCONFIG) $(@:_config=) ppc mpc85xx pm856 2432 @$(MKCONFIG) $(@:_config=) ppc mpc85xx pm856
2433 2433
2434 sbc8540_config \ 2434 sbc8540_config \
2435 sbc8540_33_config \ 2435 sbc8540_33_config \
2436 sbc8540_66_config: unconfig 2436 sbc8540_66_config: unconfig
2437 @mkdir -p $(obj)include 2437 @mkdir -p $(obj)include
2438 @if [ "$(findstring _66_,$@)" ] ; then \ 2438 @if [ "$(findstring _66_,$@)" ] ; then \
2439 echo "#define CONFIG_PCI_66" >>$(obj)include/config.h ; \ 2439 echo "#define CONFIG_PCI_66" >>$(obj)include/config.h ; \
2440 $(XECHO) "... 66 MHz PCI" ; \ 2440 $(XECHO) "... 66 MHz PCI" ; \
2441 else \ 2441 else \
2442 $(XECHO) "... 33 MHz PCI" ; \ 2442 $(XECHO) "... 33 MHz PCI" ; \
2443 fi 2443 fi
2444 @$(MKCONFIG) -a SBC8540 ppc mpc85xx sbc8560 2444 @$(MKCONFIG) -a SBC8540 ppc mpc85xx sbc8560
2445 2445
2446 sbc8548_config: unconfig 2446 sbc8548_config: unconfig
2447 @$(MKCONFIG) $(@:_config=) ppc mpc85xx sbc8548 2447 @$(MKCONFIG) $(@:_config=) ppc mpc85xx sbc8548
2448 2448
2449 sbc8560_config \ 2449 sbc8560_config \
2450 sbc8560_33_config \ 2450 sbc8560_33_config \
2451 sbc8560_66_config: unconfig 2451 sbc8560_66_config: unconfig
2452 @mkdir -p $(obj)include 2452 @mkdir -p $(obj)include
2453 @if [ "$(findstring _66_,$@)" ] ; then \ 2453 @if [ "$(findstring _66_,$@)" ] ; then \
2454 echo "#define CONFIG_PCI_66" >>$(obj)include/config.h ; \ 2454 echo "#define CONFIG_PCI_66" >>$(obj)include/config.h ; \
2455 $(XECHO) "... 66 MHz PCI" ; \ 2455 $(XECHO) "... 66 MHz PCI" ; \
2456 else \ 2456 else \
2457 $(XECHO) "... 33 MHz PCI" ; \ 2457 $(XECHO) "... 33 MHz PCI" ; \
2458 fi 2458 fi
2459 @$(MKCONFIG) -a sbc8560 ppc mpc85xx sbc8560 2459 @$(MKCONFIG) -a sbc8560 ppc mpc85xx sbc8560
2460 2460
2461 socrates_config: unconfig 2461 socrates_config: unconfig
2462 @$(MKCONFIG) $(@:_config=) ppc mpc85xx socrates 2462 @$(MKCONFIG) $(@:_config=) ppc mpc85xx socrates
2463 2463
2464 stxgp3_config: unconfig 2464 stxgp3_config: unconfig
2465 @$(MKCONFIG) $(@:_config=) ppc mpc85xx stxgp3 2465 @$(MKCONFIG) $(@:_config=) ppc mpc85xx stxgp3
2466 2466
2467 stxssa_config \ 2467 stxssa_config \
2468 stxssa_4M_config: unconfig 2468 stxssa_4M_config: unconfig
2469 @mkdir -p $(obj)include 2469 @mkdir -p $(obj)include
2470 @if [ "$(findstring _4M_,$@)" ] ; then \ 2470 @if [ "$(findstring _4M_,$@)" ] ; then \
2471 echo "#define CONFIG_STXSSA_4M" >>$(obj)include/config.h ; \ 2471 echo "#define CONFIG_STXSSA_4M" >>$(obj)include/config.h ; \
2472 $(XECHO) "... with 4 MiB flash memory" ; \ 2472 $(XECHO) "... with 4 MiB flash memory" ; \
2473 fi 2473 fi
2474 @$(MKCONFIG) -a stxssa ppc mpc85xx stxssa 2474 @$(MKCONFIG) -a stxssa ppc mpc85xx stxssa
2475 2475
2476 TQM8540_config \ 2476 TQM8540_config \
2477 TQM8541_config \ 2477 TQM8541_config \
2478 TQM8548_config \ 2478 TQM8548_config \
2479 TQM8555_config \ 2479 TQM8555_config \
2480 TQM8560_config: unconfig 2480 TQM8560_config: unconfig
2481 @mkdir -p $(obj)include 2481 @mkdir -p $(obj)include
2482 @CTYPE=$(subst TQM,,$(@:_config=)); \ 2482 @CTYPE=$(subst TQM,,$(@:_config=)); \
2483 $(XECHO) "... TQM"$${CTYPE}; \ 2483 $(XECHO) "... TQM"$${CTYPE}; \
2484 echo "#define CONFIG_MPC$${CTYPE}">>$(obj)include/config.h; \ 2484 echo "#define CONFIG_MPC$${CTYPE}">>$(obj)include/config.h; \
2485 echo "#define CONFIG_TQM$${CTYPE}">>$(obj)include/config.h; \ 2485 echo "#define CONFIG_TQM$${CTYPE}">>$(obj)include/config.h; \
2486 echo "#define CONFIG_HOSTNAME tqm$${CTYPE}">>$(obj)include/config.h; \ 2486 echo "#define CONFIG_HOSTNAME tqm$${CTYPE}">>$(obj)include/config.h; \
2487 echo "#define CONFIG_BOARDNAME \"TQM$${CTYPE}\"">>$(obj)include/config.h; 2487 echo "#define CONFIG_BOARDNAME \"TQM$${CTYPE}\"">>$(obj)include/config.h;
2488 @$(MKCONFIG) -a TQM85xx ppc mpc85xx tqm85xx tqc 2488 @$(MKCONFIG) -a TQM85xx ppc mpc85xx tqm85xx tqc
2489 2489
2490 XPEDITE5200_config: unconfig 2490 XPEDITE5200_config: unconfig
2491 @$(MKCONFIG) $(@:_config=) ppc mpc85xx xpedite5200 xes 2491 @$(MKCONFIG) $(@:_config=) ppc mpc85xx xpedite5200 xes
2492 2492
2493 XPEDITE5370_config: unconfig 2493 XPEDITE5370_config: unconfig
2494 @$(MKCONFIG) $(@:_config=) ppc mpc85xx xpedite5370 xes 2494 @$(MKCONFIG) $(@:_config=) ppc mpc85xx xpedite5370 xes
2495 2495
2496 ######################################################################### 2496 #########################################################################
2497 ## MPC86xx Systems 2497 ## MPC86xx Systems
2498 ######################################################################### 2498 #########################################################################
2499 2499
2500 MPC8610HPCD_config: unconfig 2500 MPC8610HPCD_config: unconfig
2501 @$(MKCONFIG) $(@:_config=) ppc mpc86xx mpc8610hpcd freescale 2501 @$(MKCONFIG) $(@:_config=) ppc mpc86xx mpc8610hpcd freescale
2502 2502
2503 MPC8641HPCN_36BIT_config \ 2503 MPC8641HPCN_36BIT_config \
2504 MPC8641HPCN_config: unconfig 2504 MPC8641HPCN_config: unconfig
2505 @mkdir -p $(obj)include 2505 @mkdir -p $(obj)include
2506 @if [ "$(findstring _36BIT_,$@)" ] ; then \ 2506 @if [ "$(findstring _36BIT_,$@)" ] ; then \
2507 echo "#define CONFIG_PHYS_64BIT" >>$(obj)include/config.h ; \ 2507 echo "#define CONFIG_PHYS_64BIT" >>$(obj)include/config.h ; \
2508 $(XECHO) "... enabling 36-bit physical addressing." ; \ 2508 $(XECHO) "... enabling 36-bit physical addressing." ; \
2509 fi 2509 fi
2510 @$(MKCONFIG) -a MPC8641HPCN ppc mpc86xx mpc8641hpcn freescale 2510 @$(MKCONFIG) -a MPC8641HPCN ppc mpc86xx mpc8641hpcn freescale
2511 2511
2512 sbc8641d_config: unconfig 2512 sbc8641d_config: unconfig
2513 @$(MKCONFIG) $(@:_config=) ppc mpc86xx sbc8641d 2513 @$(MKCONFIG) $(@:_config=) ppc mpc86xx sbc8641d
2514 2514
2515 ######################################################################### 2515 #########################################################################
2516 ## 74xx/7xx Systems 2516 ## 74xx/7xx Systems
2517 ######################################################################### 2517 #########################################################################
2518 2518
2519 AmigaOneG3SE_config: unconfig 2519 AmigaOneG3SE_config: unconfig
2520 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx AmigaOneG3SE MAI 2520 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx AmigaOneG3SE MAI
2521 2521
2522 BAB7xx_config: unconfig 2522 BAB7xx_config: unconfig
2523 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx bab7xx eltec 2523 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx bab7xx eltec
2524 2524
2525 CPCI750_config: unconfig 2525 CPCI750_config: unconfig
2526 @$(MKCONFIG) CPCI750 ppc 74xx_7xx cpci750 esd 2526 @$(MKCONFIG) CPCI750 ppc 74xx_7xx cpci750 esd
2527 2527
2528 DB64360_config: unconfig 2528 DB64360_config: unconfig
2529 @$(MKCONFIG) DB64360 ppc 74xx_7xx db64360 Marvell 2529 @$(MKCONFIG) DB64360 ppc 74xx_7xx db64360 Marvell
2530 2530
2531 DB64460_config: unconfig 2531 DB64460_config: unconfig
2532 @$(MKCONFIG) DB64460 ppc 74xx_7xx db64460 Marvell 2532 @$(MKCONFIG) DB64460 ppc 74xx_7xx db64460 Marvell
2533 2533
2534 ELPPC_config: unconfig 2534 ELPPC_config: unconfig
2535 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx elppc eltec 2535 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx elppc eltec
2536 2536
2537 EVB64260_config \ 2537 EVB64260_config \
2538 EVB64260_750CX_config: unconfig 2538 EVB64260_750CX_config: unconfig
2539 @$(MKCONFIG) EVB64260 ppc 74xx_7xx evb64260 2539 @$(MKCONFIG) EVB64260 ppc 74xx_7xx evb64260
2540 2540
2541 mpc7448hpc2_config: unconfig 2541 mpc7448hpc2_config: unconfig
2542 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx mpc7448hpc2 freescale 2542 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx mpc7448hpc2 freescale
2543 2543
2544 P3G4_config: unconfig 2544 P3G4_config: unconfig
2545 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx evb64260 2545 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx evb64260
2546 2546
2547 p3m750_config \ 2547 p3m750_config \
2548 p3m7448_config: unconfig 2548 p3m7448_config: unconfig
2549 @mkdir -p $(obj)include 2549 @mkdir -p $(obj)include
2550 @if [ "$(findstring 750_,$@)" ] ; then \ 2550 @if [ "$(findstring 750_,$@)" ] ; then \
2551 echo "#define CONFIG_P3M750" >>$(obj)include/config.h ; \ 2551 echo "#define CONFIG_P3M750" >>$(obj)include/config.h ; \
2552 else \ 2552 else \
2553 echo "#define CONFIG_P3M7448" >>$(obj)include/config.h ; \ 2553 echo "#define CONFIG_P3M7448" >>$(obj)include/config.h ; \
2554 fi 2554 fi
2555 @$(MKCONFIG) -a p3mx ppc 74xx_7xx p3mx prodrive 2555 @$(MKCONFIG) -a p3mx ppc 74xx_7xx p3mx prodrive
2556 2556
2557 PCIPPC2_config \ 2557 PCIPPC2_config \
2558 PCIPPC6_config: unconfig 2558 PCIPPC6_config: unconfig
2559 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx pcippc2 2559 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx pcippc2
2560 2560
2561 ppmc7xx_config: unconfig 2561 ppmc7xx_config: unconfig
2562 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx ppmc7xx 2562 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx ppmc7xx
2563 2563
2564 ZUMA_config: unconfig 2564 ZUMA_config: unconfig
2565 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx evb64260 2565 @$(MKCONFIG) $(@:_config=) ppc 74xx_7xx evb64260
2566 2566
2567 #======================================================================== 2567 #========================================================================
2568 # ARM 2568 # ARM
2569 #======================================================================== 2569 #========================================================================
2570 ######################################################################### 2570 #########################################################################
2571 ## StrongARM Systems 2571 ## StrongARM Systems
2572 ######################################################################### 2572 #########################################################################
2573 2573
2574 assabet_config : unconfig 2574 assabet_config : unconfig
2575 @$(MKCONFIG) $(@:_config=) arm sa1100 assabet 2575 @$(MKCONFIG) $(@:_config=) arm sa1100 assabet
2576 2576
2577 dnp1110_config : unconfig 2577 dnp1110_config : unconfig
2578 @$(MKCONFIG) $(@:_config=) arm sa1100 dnp1110 2578 @$(MKCONFIG) $(@:_config=) arm sa1100 dnp1110
2579 2579
2580 gcplus_config : unconfig 2580 gcplus_config : unconfig
2581 @$(MKCONFIG) $(@:_config=) arm sa1100 gcplus 2581 @$(MKCONFIG) $(@:_config=) arm sa1100 gcplus
2582 2582
2583 lart_config : unconfig 2583 lart_config : unconfig
2584 @$(MKCONFIG) $(@:_config=) arm sa1100 lart 2584 @$(MKCONFIG) $(@:_config=) arm sa1100 lart
2585 2585
2586 shannon_config : unconfig 2586 shannon_config : unconfig
2587 @$(MKCONFIG) $(@:_config=) arm sa1100 shannon 2587 @$(MKCONFIG) $(@:_config=) arm sa1100 shannon
2588 2588
2589 ######################################################################### 2589 #########################################################################
2590 ## ARM92xT Systems 2590 ## ARM92xT Systems
2591 ######################################################################### 2591 #########################################################################
2592 2592
2593 ######################################################################### 2593 #########################################################################
2594 ## Atmel AT91RM9200 Systems 2594 ## Atmel AT91RM9200 Systems
2595 ######################################################################### 2595 #########################################################################
2596 2596
2597 at91rm9200dk_config : unconfig 2597 at91rm9200dk_config : unconfig
2598 @$(MKCONFIG) $(@:_config=) arm arm920t at91rm9200dk atmel at91rm9200 2598 @$(MKCONFIG) $(@:_config=) arm arm920t at91rm9200dk atmel at91rm9200
2599 2599
2600 cmc_pu2_config : unconfig 2600 cmc_pu2_config : unconfig
2601 @$(MKCONFIG) $(@:_config=) arm arm920t cmc_pu2 NULL at91rm9200 2601 @$(MKCONFIG) $(@:_config=) arm arm920t cmc_pu2 NULL at91rm9200
2602 2602
2603 csb637_config : unconfig 2603 csb637_config : unconfig
2604 @$(MKCONFIG) $(@:_config=) arm arm920t csb637 NULL at91rm9200 2604 @$(MKCONFIG) $(@:_config=) arm arm920t csb637 NULL at91rm9200
2605 2605
2606 kb9202_config : unconfig 2606 kb9202_config : unconfig
2607 @$(MKCONFIG) $(@:_config=) arm arm920t kb9202 NULL at91rm9200 2607 @$(MKCONFIG) $(@:_config=) arm arm920t kb9202 NULL at91rm9200
2608 2608
2609 m501sk_config : unconfig 2609 m501sk_config : unconfig
2610 @$(MKCONFIG) $(@:_config=) arm arm920t m501sk NULL at91rm9200 2610 @$(MKCONFIG) $(@:_config=) arm arm920t m501sk NULL at91rm9200
2611 2611
2612 mp2usb_config : unconfig 2612 mp2usb_config : unconfig
2613 @$(MKCONFIG) $(@:_config=) arm arm920t mp2usb NULL at91rm9200 2613 @$(MKCONFIG) $(@:_config=) arm arm920t mp2usb NULL at91rm9200
2614 2614
2615 ######################################################################### 2615 #########################################################################
2616 ## Atmel ARM926EJ-S Systems 2616 ## Atmel ARM926EJ-S Systems
2617 ######################################################################### 2617 #########################################################################
2618 2618
2619 afeb9260_config: unconfig 2619 afeb9260_config: unconfig
2620 @$(MKCONFIG) $(@:_config=) arm arm926ejs afeb9260 NULL at91 2620 @$(MKCONFIG) $(@:_config=) arm arm926ejs afeb9260 NULL at91
2621 2621
2622 at91cap9adk_config : unconfig 2622 at91cap9adk_config : unconfig
2623 @$(MKCONFIG) $(@:_config=) arm arm926ejs at91cap9adk atmel at91 2623 @$(MKCONFIG) $(@:_config=) arm arm926ejs at91cap9adk atmel at91
2624 2624
2625 at91sam9260ek_nandflash_config \ 2625 at91sam9260ek_nandflash_config \
2626 at91sam9260ek_dataflash_cs0_config \ 2626 at91sam9260ek_dataflash_cs0_config \
2627 at91sam9260ek_dataflash_cs1_config \ 2627 at91sam9260ek_dataflash_cs1_config \
2628 at91sam9260ek_config : unconfig 2628 at91sam9260ek_config : unconfig
2629 @mkdir -p $(obj)include 2629 @mkdir -p $(obj)include
2630 @if [ "$(findstring _nandflash,$@)" ] ; then \ 2630 @if [ "$(findstring _nandflash,$@)" ] ; then \
2631 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \ 2631 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \
2632 $(XECHO) "... with environment variable in NAND FLASH" ; \ 2632 $(XECHO) "... with environment variable in NAND FLASH" ; \
2633 elif [ "$(findstring dataflash_cs0,$@)" ] ; then \ 2633 elif [ "$(findstring dataflash_cs0,$@)" ] ; then \
2634 echo "#define CONFIG_SYS_USE_DATAFLASH_CS0 1" >>$(obj)include/config.h ; \ 2634 echo "#define CONFIG_SYS_USE_DATAFLASH_CS0 1" >>$(obj)include/config.h ; \
2635 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \ 2635 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \
2636 else \ 2636 else \
2637 echo "#define CONFIG_SYS_USE_DATAFLASH_CS1 1" >>$(obj)include/config.h ; \ 2637 echo "#define CONFIG_SYS_USE_DATAFLASH_CS1 1" >>$(obj)include/config.h ; \
2638 $(XECHO) "... with environment variable in SPI DATAFLASH CS1" ; \ 2638 $(XECHO) "... with environment variable in SPI DATAFLASH CS1" ; \
2639 fi; 2639 fi;
2640 @$(MKCONFIG) -a at91sam9260ek arm arm926ejs at91sam9260ek atmel at91 2640 @$(MKCONFIG) -a at91sam9260ek arm arm926ejs at91sam9260ek atmel at91
2641 2641
2642 at91sam9xeek_nandflash_config \ 2642 at91sam9xeek_nandflash_config \
2643 at91sam9xeek_dataflash_cs0_config \ 2643 at91sam9xeek_dataflash_cs0_config \
2644 at91sam9xeek_dataflash_cs1_config \ 2644 at91sam9xeek_dataflash_cs1_config \
2645 at91sam9xeek_config : unconfig 2645 at91sam9xeek_config : unconfig
2646 @mkdir -p $(obj)include 2646 @mkdir -p $(obj)include
2647 @if [ "$(findstring _nandflash,$@)" ] ; then \ 2647 @if [ "$(findstring _nandflash,$@)" ] ; then \
2648 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \ 2648 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \
2649 $(XECHO) "... with environment variable in NAND FLASH" ; \ 2649 $(XECHO) "... with environment variable in NAND FLASH" ; \
2650 elif [ "$(findstring dataflash_cs0,$@)" ] ; then \ 2650 elif [ "$(findstring dataflash_cs0,$@)" ] ; then \
2651 echo "#define CONFIG_SYS_USE_DATAFLASH_CS0 1" >>$(obj)include/config.h ; \ 2651 echo "#define CONFIG_SYS_USE_DATAFLASH_CS0 1" >>$(obj)include/config.h ; \
2652 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \ 2652 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \
2653 else \ 2653 else \
2654 echo "#define CONFIG_SYS_USE_DATAFLASH_CS1 1" >>$(obj)include/config.h ; \ 2654 echo "#define CONFIG_SYS_USE_DATAFLASH_CS1 1" >>$(obj)include/config.h ; \
2655 $(XECHO) "... with environment variable in SPI DATAFLASH CS1" ; \ 2655 $(XECHO) "... with environment variable in SPI DATAFLASH CS1" ; \
2656 fi; 2656 fi;
2657 @$(MKCONFIG) -n at91sam9xeek -a at91sam9260ek arm arm926ejs at91sam9260ek atmel at91sam9 2657 @$(MKCONFIG) -n at91sam9xeek -a at91sam9260ek arm arm926ejs at91sam9260ek atmel at91sam9
2658 2658
2659 at91sam9261ek_nandflash_config \ 2659 at91sam9261ek_nandflash_config \
2660 at91sam9261ek_dataflash_cs0_config \ 2660 at91sam9261ek_dataflash_cs0_config \
2661 at91sam9261ek_dataflash_cs3_config \ 2661 at91sam9261ek_dataflash_cs3_config \
2662 at91sam9261ek_config : unconfig 2662 at91sam9261ek_config : unconfig
2663 @mkdir -p $(obj)include 2663 @mkdir -p $(obj)include
2664 @if [ "$(findstring _nandflash,$@)" ] ; then \ 2664 @if [ "$(findstring _nandflash,$@)" ] ; then \
2665 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \ 2665 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \
2666 $(XECHO) "... with environment variable in NAND FLASH" ; \ 2666 $(XECHO) "... with environment variable in NAND FLASH" ; \
2667 elif [ "$(findstring dataflash_cs3,$@)" ] ; then \ 2667 elif [ "$(findstring dataflash_cs3,$@)" ] ; then \
2668 echo "#define CONFIG_SYS_USE_DATAFLASH_CS3 1" >>$(obj)include/config.h ; \ 2668 echo "#define CONFIG_SYS_USE_DATAFLASH_CS3 1" >>$(obj)include/config.h ; \
2669 $(XECHO) "... with environment variable in SPI DATAFLASH CS3" ; \ 2669 $(XECHO) "... with environment variable in SPI DATAFLASH CS3" ; \
2670 else \ 2670 else \
2671 echo "#define CONFIG_SYS_USE_DATAFLASH_CS0 1" >>$(obj)include/config.h ; \ 2671 echo "#define CONFIG_SYS_USE_DATAFLASH_CS0 1" >>$(obj)include/config.h ; \
2672 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \ 2672 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \
2673 fi; 2673 fi;
2674 @$(MKCONFIG) -a at91sam9261ek arm arm926ejs at91sam9261ek atmel at91 2674 @$(MKCONFIG) -a at91sam9261ek arm arm926ejs at91sam9261ek atmel at91
2675 2675
2676 at91sam9263ek_nandflash_config \ 2676 at91sam9263ek_nandflash_config \
2677 at91sam9263ek_dataflash_config \ 2677 at91sam9263ek_dataflash_config \
2678 at91sam9263ek_dataflash_cs0_config \ 2678 at91sam9263ek_dataflash_cs0_config \
2679 at91sam9263ek_config : unconfig 2679 at91sam9263ek_config : unconfig
2680 @mkdir -p $(obj)include 2680 @mkdir -p $(obj)include
2681 @if [ "$(findstring _nandflash,$@)" ] ; then \ 2681 @if [ "$(findstring _nandflash,$@)" ] ; then \
2682 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \ 2682 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \
2683 $(XECHO) "... with environment variable in NAND FLASH" ; \ 2683 $(XECHO) "... with environment variable in NAND FLASH" ; \
2684 else \ 2684 else \
2685 echo "#define CONFIG_SYS_USE_DATAFLASH 1" >>$(obj)include/config.h ; \ 2685 echo "#define CONFIG_SYS_USE_DATAFLASH 1" >>$(obj)include/config.h ; \
2686 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \ 2686 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \
2687 fi; 2687 fi;
2688 @$(MKCONFIG) -a at91sam9263ek arm arm926ejs at91sam9263ek atmel at91 2688 @$(MKCONFIG) -a at91sam9263ek arm arm926ejs at91sam9263ek atmel at91
2689 2689
2690 at91sam9rlek_nandflash_config \ 2690 at91sam9rlek_nandflash_config \
2691 at91sam9rlek_dataflash_config \ 2691 at91sam9rlek_dataflash_config \
2692 at91sam9rlek_dataflash_cs0_config \ 2692 at91sam9rlek_dataflash_cs0_config \
2693 at91sam9rlek_config : unconfig 2693 at91sam9rlek_config : unconfig
2694 @mkdir -p $(obj)include 2694 @mkdir -p $(obj)include
2695 @if [ "$(findstring _nandflash,$@)" ] ; then \ 2695 @if [ "$(findstring _nandflash,$@)" ] ; then \
2696 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \ 2696 echo "#define CONFIG_SYS_USE_NANDFLASH 1" >>$(obj)include/config.h ; \
2697 $(XECHO) "... with environment variable in NAND FLASH" ; \ 2697 $(XECHO) "... with environment variable in NAND FLASH" ; \
2698 else \ 2698 else \
2699 echo "#define CONFIG_SYS_USE_DATAFLASH 1" >>$(obj)include/config.h ; \ 2699 echo "#define CONFIG_SYS_USE_DATAFLASH 1" >>$(obj)include/config.h ; \
2700 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \ 2700 $(XECHO) "... with environment variable in SPI DATAFLASH CS0" ; \
2701 fi; 2701 fi;
2702 @$(MKCONFIG) -a at91sam9rlek arm arm926ejs at91sam9rlek atmel at91 2702 @$(MKCONFIG) -a at91sam9rlek arm arm926ejs at91sam9rlek atmel at91
2703 2703
2704 ######################################################################## 2704 ########################################################################
2705 ## ARM Integrator boards - see doc/README-integrator for more info. 2705 ## ARM Integrator boards - see doc/README-integrator for more info.
2706 integratorap_config \ 2706 integratorap_config \
2707 ap_config \ 2707 ap_config \
2708 ap966_config \ 2708 ap966_config \
2709 ap922_config \ 2709 ap922_config \
2710 ap922_XA10_config \ 2710 ap922_XA10_config \
2711 ap7_config \ 2711 ap7_config \
2712 ap720t_config \ 2712 ap720t_config \
2713 ap920t_config \ 2713 ap920t_config \
2714 ap926ejs_config \ 2714 ap926ejs_config \
2715 ap946es_config: unconfig 2715 ap946es_config: unconfig
2716 @board/integratorap/split_by_variant.sh $@ 2716 @board/integratorap/split_by_variant.sh $@
2717 2717
2718 integratorcp_config \ 2718 integratorcp_config \
2719 cp_config \ 2719 cp_config \
2720 cp920t_config \ 2720 cp920t_config \
2721 cp926ejs_config \ 2721 cp926ejs_config \
2722 cp946es_config \ 2722 cp946es_config \
2723 cp1136_config \ 2723 cp1136_config \
2724 cp966_config \ 2724 cp966_config \
2725 cp922_config \ 2725 cp922_config \
2726 cp922_XA10_config \ 2726 cp922_XA10_config \
2727 cp1026_config: unconfig 2727 cp1026_config: unconfig
2728 @board/integratorcp/split_by_variant.sh $@ 2728 @board/integratorcp/split_by_variant.sh $@
2729 2729
2730 davinci_dvevm_config : unconfig 2730 davinci_dvevm_config : unconfig
2731 @$(MKCONFIG) $(@:_config=) arm arm926ejs dvevm davinci davinci 2731 @$(MKCONFIG) $(@:_config=) arm arm926ejs dvevm davinci davinci
2732 2732
2733 davinci_schmoogie_config : unconfig 2733 davinci_schmoogie_config : unconfig
2734 @$(MKCONFIG) $(@:_config=) arm arm926ejs schmoogie davinci davinci 2734 @$(MKCONFIG) $(@:_config=) arm arm926ejs schmoogie davinci davinci
2735 2735
2736 davinci_sffsdr_config : unconfig 2736 davinci_sffsdr_config : unconfig
2737 @$(MKCONFIG) $(@:_config=) arm arm926ejs sffsdr davinci davinci 2737 @$(MKCONFIG) $(@:_config=) arm arm926ejs sffsdr davinci davinci
2738 2738
2739 davinci_sonata_config : unconfig 2739 davinci_sonata_config : unconfig
2740 @$(MKCONFIG) $(@:_config=) arm arm926ejs sonata davinci davinci 2740 @$(MKCONFIG) $(@:_config=) arm arm926ejs sonata davinci davinci
2741 2741
2742 lpd7a400_config \ 2742 lpd7a400_config \
2743 lpd7a404_config: unconfig 2743 lpd7a404_config: unconfig
2744 @$(MKCONFIG) $(@:_config=) arm lh7a40x lpd7a40x 2744 @$(MKCONFIG) $(@:_config=) arm lh7a40x lpd7a40x
2745 2745
2746 mx1ads_config : unconfig 2746 mx1ads_config : unconfig
2747 @$(MKCONFIG) $(@:_config=) arm arm920t mx1ads NULL imx 2747 @$(MKCONFIG) $(@:_config=) arm arm920t mx1ads NULL imx
2748 2748
2749 mx1fs2_config : unconfig 2749 mx1fs2_config : unconfig
2750 @$(MKCONFIG) $(@:_config=) arm arm920t mx1fs2 NULL imx 2750 @$(MKCONFIG) $(@:_config=) arm arm920t mx1fs2 NULL imx
2751 2751
2752 netstar_config: unconfig 2752 netstar_config: unconfig
2753 @$(MKCONFIG) $(@:_config=) arm arm925t netstar 2753 @$(MKCONFIG) $(@:_config=) arm arm925t netstar
2754 2754
2755 nmdk8815_config \ 2755 nmdk8815_config \
2756 nmdk8815_onenand_config: unconfig 2756 nmdk8815_onenand_config: unconfig
2757 @mkdir -p $(obj)include 2757 @mkdir -p $(obj)include
2758 @ > $(obj)include/config.h 2758 @ > $(obj)include/config.h
2759 @if [ "$(findstring _onenand, $@)" ] ; then \ 2759 @if [ "$(findstring _onenand, $@)" ] ; then \
2760 echo "#define CONFIG_BOOT_ONENAND" >> $(obj)include/config.h; \ 2760 echo "#define CONFIG_BOOT_ONENAND" >> $(obj)include/config.h; \
2761 $(XECHO) "... configured for OneNand Flash"; \ 2761 $(XECHO) "... configured for OneNand Flash"; \
2762 else \ 2762 else \
2763 $(XECHO) "... configured for Nand Flash"; \ 2763 $(XECHO) "... configured for Nand Flash"; \
2764 fi 2764 fi
2765 @$(MKCONFIG) -a nmdk8815 arm arm926ejs nmdk8815 st nomadik 2765 @$(MKCONFIG) -a nmdk8815 arm arm926ejs nmdk8815 st nomadik
2766 2766
2767 omap1510inn_config : unconfig 2767 omap1510inn_config : unconfig
2768 @$(MKCONFIG) $(@:_config=) arm arm925t omap1510inn 2768 @$(MKCONFIG) $(@:_config=) arm arm925t omap1510inn
2769 2769
2770 xtract_omap1610xxx = $(subst _cs0boot,,$(subst _cs3boot,,$(subst _cs_autoboot,,$(subst _config,,$1)))) 2770 xtract_omap1610xxx = $(subst _cs0boot,,$(subst _cs3boot,,$(subst _cs_autoboot,,$(subst _config,,$1))))
2771 2771
2772 omap1610inn_config \ 2772 omap1610inn_config \
2773 omap1610inn_cs0boot_config \ 2773 omap1610inn_cs0boot_config \
2774 omap1610inn_cs3boot_config \ 2774 omap1610inn_cs3boot_config \
2775 omap1610inn_cs_autoboot_config \ 2775 omap1610inn_cs_autoboot_config \
2776 omap1610h2_config \ 2776 omap1610h2_config \
2777 omap1610h2_cs0boot_config \ 2777 omap1610h2_cs0boot_config \
2778 omap1610h2_cs3boot_config \ 2778 omap1610h2_cs3boot_config \
2779 omap1610h2_cs_autoboot_config: unconfig 2779 omap1610h2_cs_autoboot_config: unconfig
2780 @mkdir -p $(obj)include 2780 @mkdir -p $(obj)include
2781 @if [ "$(findstring _cs0boot_, $@)" ] ; then \ 2781 @if [ "$(findstring _cs0boot_, $@)" ] ; then \
2782 echo "#define CONFIG_CS0_BOOT" >> .$(obj)include/config.h ; \ 2782 echo "#define CONFIG_CS0_BOOT" >> .$(obj)include/config.h ; \
2783 $(XECHO) "... configured for CS0 boot"; \ 2783 $(XECHO) "... configured for CS0 boot"; \
2784 elif [ "$(findstring _cs_autoboot_, $@)" ] ; then \ 2784 elif [ "$(findstring _cs_autoboot_, $@)" ] ; then \
2785 echo "#define CONFIG_CS_AUTOBOOT" >> $(obj)include/config.h ; \ 2785 echo "#define CONFIG_CS_AUTOBOOT" >> $(obj)include/config.h ; \
2786 $(XECHO) "... configured for CS_AUTO boot"; \ 2786 $(XECHO) "... configured for CS_AUTO boot"; \
2787 else \ 2787 else \
2788 echo "#define CONFIG_CS3_BOOT" >> $(obj)include/config.h ; \ 2788 echo "#define CONFIG_CS3_BOOT" >> $(obj)include/config.h ; \
2789 $(XECHO) "... configured for CS3 boot"; \ 2789 $(XECHO) "... configured for CS3 boot"; \
2790 fi; 2790 fi;
2791 @$(MKCONFIG) -a $(call xtract_omap1610xxx,$@) arm arm926ejs omap1610inn NULL omap 2791 @$(MKCONFIG) -a $(call xtract_omap1610xxx,$@) arm arm926ejs omap1610inn NULL omap
2792 2792
2793 omap5912osk_config : unconfig 2793 omap5912osk_config : unconfig
2794 @$(MKCONFIG) $(@:_config=) arm arm926ejs omap5912osk NULL omap 2794 @$(MKCONFIG) $(@:_config=) arm arm926ejs omap5912osk NULL omap
2795 2795
2796 xtract_omap730p2 = $(subst _cs0boot,,$(subst _cs3boot,, $(subst _config,,$1))) 2796 xtract_omap730p2 = $(subst _cs0boot,,$(subst _cs3boot,, $(subst _config,,$1)))
2797 2797
2798 omap730p2_config \ 2798 omap730p2_config \
2799 omap730p2_cs0boot_config \ 2799 omap730p2_cs0boot_config \
2800 omap730p2_cs3boot_config : unconfig 2800 omap730p2_cs3boot_config : unconfig
2801 @mkdir -p $(obj)include 2801 @mkdir -p $(obj)include
2802 @if [ "$(findstring _cs0boot_, $@)" ] ; then \ 2802 @if [ "$(findstring _cs0boot_, $@)" ] ; then \
2803 echo "#define CONFIG_CS0_BOOT" >> $(obj)include/config.h ; \ 2803 echo "#define CONFIG_CS0_BOOT" >> $(obj)include/config.h ; \
2804 $(XECHO) "... configured for CS0 boot"; \ 2804 $(XECHO) "... configured for CS0 boot"; \
2805 else \ 2805 else \
2806 echo "#define CONFIG_CS3_BOOT" >> $(obj)include/config.h ; \ 2806 echo "#define CONFIG_CS3_BOOT" >> $(obj)include/config.h ; \
2807 $(XECHO) "... configured for CS3 boot"; \ 2807 $(XECHO) "... configured for CS3 boot"; \
2808 fi; 2808 fi;
2809 @$(MKCONFIG) -a $(call xtract_omap730p2,$@) arm arm926ejs omap730p2 NULL omap 2809 @$(MKCONFIG) -a $(call xtract_omap730p2,$@) arm arm926ejs omap730p2 NULL omap
2810 2810
2811 sbc2410x_config: unconfig 2811 sbc2410x_config: unconfig
2812 @$(MKCONFIG) $(@:_config=) arm arm920t sbc2410x NULL s3c24x0 2812 @$(MKCONFIG) $(@:_config=) arm arm920t sbc2410x NULL s3c24x0
2813 2813
2814 scb9328_config : unconfig 2814 scb9328_config : unconfig
2815 @$(MKCONFIG) $(@:_config=) arm arm920t scb9328 NULL imx 2815 @$(MKCONFIG) $(@:_config=) arm arm920t scb9328 NULL imx
2816 2816
2817 smdk2400_config : unconfig 2817 smdk2400_config : unconfig
2818 @$(MKCONFIG) $(@:_config=) arm arm920t smdk2400 NULL s3c24x0 2818 @$(MKCONFIG) $(@:_config=) arm arm920t smdk2400 NULL s3c24x0
2819 2819
2820 smdk2410_config : unconfig 2820 smdk2410_config : unconfig
2821 @$(MKCONFIG) $(@:_config=) arm arm920t smdk2410 NULL s3c24x0 2821 @$(MKCONFIG) $(@:_config=) arm arm920t smdk2410 NULL s3c24x0
2822 2822
2823 SX1_config : unconfig 2823 SX1_config : unconfig
2824 @$(MKCONFIG) $(@:_config=) arm arm925t sx1 2824 @$(MKCONFIG) $(@:_config=) arm arm925t sx1
2825 2825
2826 # TRAB default configuration: 8 MB Flash, 32 MB RAM 2826 # TRAB default configuration: 8 MB Flash, 32 MB RAM
2827 xtract_trab = $(subst _bigram,,$(subst _bigflash,,$(subst _old,,$(subst _config,,$1)))) 2827 xtract_trab = $(subst _bigram,,$(subst _bigflash,,$(subst _old,,$(subst _config,,$1))))
2828 2828
2829 trab_config \ 2829 trab_config \
2830 trab_bigram_config \ 2830 trab_bigram_config \
2831 trab_bigflash_config \ 2831 trab_bigflash_config \
2832 trab_old_config: unconfig 2832 trab_old_config: unconfig
2833 @mkdir -p $(obj)include 2833 @mkdir -p $(obj)include
2834 @mkdir -p $(obj)board/trab 2834 @mkdir -p $(obj)board/trab
2835 @[ -z "$(findstring _bigram,$@)" ] || \ 2835 @[ -z "$(findstring _bigram,$@)" ] || \
2836 { echo "#define CONFIG_FLASH_8MB" >>$(obj)include/config.h ; \ 2836 { echo "#define CONFIG_FLASH_8MB" >>$(obj)include/config.h ; \
2837 echo "#define CONFIG_RAM_32MB" >>$(obj)include/config.h ; \ 2837 echo "#define CONFIG_RAM_32MB" >>$(obj)include/config.h ; \
2838 $(XECHO) "... with 8 MB Flash, 32 MB RAM" ; \ 2838 $(XECHO) "... with 8 MB Flash, 32 MB RAM" ; \
2839 } 2839 }
2840 @[ -z "$(findstring _bigflash,$@)" ] || \ 2840 @[ -z "$(findstring _bigflash,$@)" ] || \
2841 { echo "#define CONFIG_FLASH_16MB" >>$(obj)include/config.h ; \ 2841 { echo "#define CONFIG_FLASH_16MB" >>$(obj)include/config.h ; \
2842 echo "#define CONFIG_RAM_16MB" >>$(obj)include/config.h ; \ 2842 echo "#define CONFIG_RAM_16MB" >>$(obj)include/config.h ; \
2843 $(XECHO) "... with 16 MB Flash, 16 MB RAM" ; \ 2843 $(XECHO) "... with 16 MB Flash, 16 MB RAM" ; \
2844 echo "TEXT_BASE = 0x0CF40000" >$(obj)board/trab/config.tmp ; \ 2844 echo "TEXT_BASE = 0x0CF40000" >$(obj)board/trab/config.tmp ; \
2845 } 2845 }
2846 @[ -z "$(findstring _old,$@)" ] || \ 2846 @[ -z "$(findstring _old,$@)" ] || \
2847 { echo "#define CONFIG_FLASH_8MB" >>$(obj)include/config.h ; \ 2847 { echo "#define CONFIG_FLASH_8MB" >>$(obj)include/config.h ; \
2848 echo "#define CONFIG_RAM_16MB" >>$(obj)include/config.h ; \ 2848 echo "#define CONFIG_RAM_16MB" >>$(obj)include/config.h ; \
2849 $(XECHO) "... with 8 MB Flash, 16 MB RAM" ; \ 2849 $(XECHO) "... with 8 MB Flash, 16 MB RAM" ; \
2850 echo "TEXT_BASE = 0x0CF40000" >$(obj)board/trab/config.tmp ; \ 2850 echo "TEXT_BASE = 0x0CF40000" >$(obj)board/trab/config.tmp ; \
2851 } 2851 }
2852 @$(MKCONFIG) -a $(call xtract_trab,$@) arm arm920t trab NULL s3c24x0 2852 @$(MKCONFIG) -a $(call xtract_trab,$@) arm arm920t trab NULL s3c24x0
2853 2853
2854 VCMA9_config : unconfig 2854 VCMA9_config : unconfig
2855 @$(MKCONFIG) $(@:_config=) arm arm920t vcma9 mpl s3c24x0 2855 @$(MKCONFIG) $(@:_config=) arm arm920t vcma9 mpl s3c24x0
2856 2856
2857 ######################################################################### 2857 #########################################################################
2858 # ARM supplied Versatile development boards 2858 # ARM supplied Versatile development boards
2859 ######################################################################### 2859 #########################################################################
2860 2860
2861 cm4008_config : unconfig 2861 cm4008_config : unconfig
2862 @$(MKCONFIG) $(@:_config=) arm arm920t cm4008 NULL ks8695 2862 @$(MKCONFIG) $(@:_config=) arm arm920t cm4008 NULL ks8695
2863 2863
2864 cm41xx_config : unconfig 2864 cm41xx_config : unconfig
2865 @$(MKCONFIG) $(@:_config=) arm arm920t cm41xx NULL ks8695 2865 @$(MKCONFIG) $(@:_config=) arm arm920t cm41xx NULL ks8695
2866 2866
2867 versatile_config \ 2867 versatile_config \
2868 versatileab_config \ 2868 versatileab_config \
2869 versatilepb_config : unconfig 2869 versatilepb_config : unconfig
2870 @board/versatile/split_by_variant.sh $@ 2870 @board/versatile/split_by_variant.sh $@
2871 2871
2872 voiceblue_config: unconfig 2872 voiceblue_config: unconfig
2873 @$(MKCONFIG) $(@:_config=) arm arm925t voiceblue 2873 @$(MKCONFIG) $(@:_config=) arm arm925t voiceblue
2874 2874
2875 ######################################################################### 2875 #########################################################################
2876 ## S3C44B0 Systems 2876 ## S3C44B0 Systems
2877 ######################################################################### 2877 #########################################################################
2878 2878
2879 B2_config : unconfig 2879 B2_config : unconfig
2880 @$(MKCONFIG) $(@:_config=) arm s3c44b0 B2 dave 2880 @$(MKCONFIG) $(@:_config=) arm s3c44b0 B2 dave
2881 2881
2882 ######################################################################### 2882 #########################################################################
2883 ## ARM720T Systems 2883 ## ARM720T Systems
2884 ######################################################################### 2884 #########################################################################
2885 2885
2886 armadillo_config: unconfig 2886 armadillo_config: unconfig
2887 @$(MKCONFIG) $(@:_config=) arm arm720t armadillo 2887 @$(MKCONFIG) $(@:_config=) arm arm720t armadillo
2888 2888
2889 ep7312_config : unconfig 2889 ep7312_config : unconfig
2890 @$(MKCONFIG) $(@:_config=) arm arm720t ep7312 2890 @$(MKCONFIG) $(@:_config=) arm arm720t ep7312
2891 2891
2892 impa7_config : unconfig 2892 impa7_config : unconfig
2893 @$(MKCONFIG) $(@:_config=) arm arm720t impa7 2893 @$(MKCONFIG) $(@:_config=) arm arm720t impa7
2894 2894
2895 modnet50_config : unconfig 2895 modnet50_config : unconfig
2896 @$(MKCONFIG) $(@:_config=) arm arm720t modnet50 2896 @$(MKCONFIG) $(@:_config=) arm arm720t modnet50
2897 2897
2898 evb4510_config : unconfig 2898 evb4510_config : unconfig
2899 @$(MKCONFIG) $(@:_config=) arm arm720t evb4510 2899 @$(MKCONFIG) $(@:_config=) arm arm720t evb4510
2900 2900
2901 lpc2292sodimm_config: unconfig 2901 lpc2292sodimm_config: unconfig
2902 @$(MKCONFIG) $(@:_config=) arm arm720t lpc2292sodimm NULL lpc2292 2902 @$(MKCONFIG) $(@:_config=) arm arm720t lpc2292sodimm NULL lpc2292
2903 2903
2904 SMN42_config : unconfig 2904 SMN42_config : unconfig
2905 @$(MKCONFIG) $(@:_config=) arm arm720t SMN42 siemens lpc2292 2905 @$(MKCONFIG) $(@:_config=) arm arm720t SMN42 siemens lpc2292
2906 2906
2907 ######################################################################### 2907 #########################################################################
2908 ## ARM CORTEX Systems 2908 ## ARM CORTEX Systems
2909 ######################################################################### 2909 #########################################################################
2910 2910
2911 omap3_beagle_config : unconfig 2911 omap3_beagle_config : unconfig
2912 @$(MKCONFIG) $(@:_config=) arm arm_cortexa8 beagle omap3 omap3 2912 @$(MKCONFIG) $(@:_config=) arm arm_cortexa8 beagle omap3 omap3
2913 2913
2914 omap3_overo_config : unconfig
2915 @$(MKCONFIG) $(@:_config=) arm arm_cortexa8 overo omap3 omap3
2916
2914 ######################################################################### 2917 #########################################################################
2915 ## XScale Systems 2918 ## XScale Systems
2916 ######################################################################### 2919 #########################################################################
2917 2920
2918 actux1_config : unconfig 2921 actux1_config : unconfig
2919 @$(MKCONFIG) $(@:_config=) arm ixp actux1 2922 @$(MKCONFIG) $(@:_config=) arm ixp actux1
2920 2923
2921 actux2_config : unconfig 2924 actux2_config : unconfig
2922 @$(MKCONFIG) $(@:_config=) arm ixp actux2 2925 @$(MKCONFIG) $(@:_config=) arm ixp actux2
2923 2926
2924 actux3_config : unconfig 2927 actux3_config : unconfig
2925 @$(MKCONFIG) $(@:_config=) arm ixp actux3 2928 @$(MKCONFIG) $(@:_config=) arm ixp actux3
2926 2929
2927 actux4_config : unconfig 2930 actux4_config : unconfig
2928 @$(MKCONFIG) $(@:_config=) arm ixp actux4 2931 @$(MKCONFIG) $(@:_config=) arm ixp actux4
2929 2932
2930 cerf250_config : unconfig 2933 cerf250_config : unconfig
2931 @$(MKCONFIG) $(@:_config=) arm pxa cerf250 2934 @$(MKCONFIG) $(@:_config=) arm pxa cerf250
2932 2935
2933 cradle_config : unconfig 2936 cradle_config : unconfig
2934 @$(MKCONFIG) $(@:_config=) arm pxa cradle 2937 @$(MKCONFIG) $(@:_config=) arm pxa cradle
2935 2938
2936 csb226_config : unconfig 2939 csb226_config : unconfig
2937 @$(MKCONFIG) $(@:_config=) arm pxa csb226 2940 @$(MKCONFIG) $(@:_config=) arm pxa csb226
2938 2941
2939 delta_config : unconfig 2942 delta_config : unconfig
2940 @$(MKCONFIG) $(@:_config=) arm pxa delta 2943 @$(MKCONFIG) $(@:_config=) arm pxa delta
2941 2944
2942 innokom_config : unconfig 2945 innokom_config : unconfig
2943 @$(MKCONFIG) $(@:_config=) arm pxa innokom 2946 @$(MKCONFIG) $(@:_config=) arm pxa innokom
2944 2947
2945 ixdp425_config : unconfig 2948 ixdp425_config : unconfig
2946 @$(MKCONFIG) $(@:_config=) arm ixp ixdp425 2949 @$(MKCONFIG) $(@:_config=) arm ixp ixdp425
2947 2950
2948 ixdpg425_config : unconfig 2951 ixdpg425_config : unconfig
2949 @$(MKCONFIG) $(@:_config=) arm ixp ixdp425 2952 @$(MKCONFIG) $(@:_config=) arm ixp ixdp425
2950 2953
2951 lubbock_config : unconfig 2954 lubbock_config : unconfig
2952 @$(MKCONFIG) $(@:_config=) arm pxa lubbock 2955 @$(MKCONFIG) $(@:_config=) arm pxa lubbock
2953 2956
2954 pleb2_config : unconfig 2957 pleb2_config : unconfig
2955 @$(MKCONFIG) $(@:_config=) arm pxa pleb2 2958 @$(MKCONFIG) $(@:_config=) arm pxa pleb2
2956 2959
2957 logodl_config : unconfig 2960 logodl_config : unconfig
2958 @$(MKCONFIG) $(@:_config=) arm pxa logodl 2961 @$(MKCONFIG) $(@:_config=) arm pxa logodl
2959 2962
2960 pdnb3_config \ 2963 pdnb3_config \
2961 scpu_config: unconfig 2964 scpu_config: unconfig
2962 @mkdir -p $(obj)include 2965 @mkdir -p $(obj)include
2963 @if [ "$(findstring scpu_,$@)" ] ; then \ 2966 @if [ "$(findstring scpu_,$@)" ] ; then \
2964 echo "#define CONFIG_SCPU" >>$(obj)include/config.h ; \ 2967 echo "#define CONFIG_SCPU" >>$(obj)include/config.h ; \
2965 $(XECHO) "... on SCPU board variant" ; \ 2968 $(XECHO) "... on SCPU board variant" ; \
2966 fi 2969 fi
2967 @$(MKCONFIG) -a pdnb3 arm ixp pdnb3 prodrive 2970 @$(MKCONFIG) -a pdnb3 arm ixp pdnb3 prodrive
2968 2971
2969 pxa255_idp_config: unconfig 2972 pxa255_idp_config: unconfig
2970 @$(MKCONFIG) $(@:_config=) arm pxa pxa255_idp 2973 @$(MKCONFIG) $(@:_config=) arm pxa pxa255_idp
2971 2974
2972 trizepsiv_config : unconfig 2975 trizepsiv_config : unconfig
2973 @$(MKCONFIG) $(@:_config=) arm pxa trizepsiv 2976 @$(MKCONFIG) $(@:_config=) arm pxa trizepsiv
2974 2977
2975 wepep250_config : unconfig 2978 wepep250_config : unconfig
2976 @$(MKCONFIG) $(@:_config=) arm pxa wepep250 2979 @$(MKCONFIG) $(@:_config=) arm pxa wepep250
2977 2980
2978 xaeniax_config : unconfig 2981 xaeniax_config : unconfig
2979 @$(MKCONFIG) $(@:_config=) arm pxa xaeniax 2982 @$(MKCONFIG) $(@:_config=) arm pxa xaeniax
2980 2983
2981 xm250_config : unconfig 2984 xm250_config : unconfig
2982 @$(MKCONFIG) $(@:_config=) arm pxa xm250 2985 @$(MKCONFIG) $(@:_config=) arm pxa xm250
2983 2986
2984 xsengine_config : unconfig 2987 xsengine_config : unconfig
2985 @$(MKCONFIG) $(@:_config=) arm pxa xsengine 2988 @$(MKCONFIG) $(@:_config=) arm pxa xsengine
2986 2989
2987 zylonite_config : 2990 zylonite_config :
2988 @$(MKCONFIG) $(@:_config=) arm pxa zylonite 2991 @$(MKCONFIG) $(@:_config=) arm pxa zylonite
2989 2992
2990 ######################################################################### 2993 #########################################################################
2991 ## ARM1136 Systems 2994 ## ARM1136 Systems
2992 ######################################################################### 2995 #########################################################################
2993 2996
2994 apollon_config : unconfig 2997 apollon_config : unconfig
2995 @mkdir -p $(obj)include 2998 @mkdir -p $(obj)include
2996 @mkdir -p $(obj)onenand_ipl/board/apollon 2999 @mkdir -p $(obj)onenand_ipl/board/apollon
2997 @echo "#define CONFIG_ONENAND_U_BOOT" > $(obj)include/config.h 3000 @echo "#define CONFIG_ONENAND_U_BOOT" > $(obj)include/config.h
2998 @$(MKCONFIG) $(@:_config=) arm arm1136 apollon NULL omap24xx 3001 @$(MKCONFIG) $(@:_config=) arm arm1136 apollon NULL omap24xx
2999 @echo "CONFIG_ONENAND_U_BOOT = y" >> $(obj)include/config.mk 3002 @echo "CONFIG_ONENAND_U_BOOT = y" >> $(obj)include/config.mk
3000 3003
3001 imx31_litekit_config : unconfig 3004 imx31_litekit_config : unconfig
3002 @$(MKCONFIG) $(@:_config=) arm arm1136 imx31_litekit NULL mx31 3005 @$(MKCONFIG) $(@:_config=) arm arm1136 imx31_litekit NULL mx31
3003 3006
3004 imx31_phycore_config : unconfig 3007 imx31_phycore_config : unconfig
3005 @$(MKCONFIG) $(@:_config=) arm arm1136 imx31_phycore NULL mx31 3008 @$(MKCONFIG) $(@:_config=) arm arm1136 imx31_phycore NULL mx31
3006 3009
3007 mx31ads_config : unconfig 3010 mx31ads_config : unconfig
3008 @$(MKCONFIG) $(@:_config=) arm arm1136 mx31ads freescale mx31 3011 @$(MKCONFIG) $(@:_config=) arm arm1136 mx31ads freescale mx31
3009 3012
3010 omap2420h4_config : unconfig 3013 omap2420h4_config : unconfig
3011 @$(MKCONFIG) $(@:_config=) arm arm1136 omap2420h4 NULL omap24xx 3014 @$(MKCONFIG) $(@:_config=) arm arm1136 omap2420h4 NULL omap24xx
3012 3015
3013 ######################################################################### 3016 #########################################################################
3014 ## ARM1176 Systems 3017 ## ARM1176 Systems
3015 ######################################################################### 3018 #########################################################################
3016 smdk6400_noUSB_config \ 3019 smdk6400_noUSB_config \
3017 smdk6400_config : unconfig 3020 smdk6400_config : unconfig
3018 @mkdir -p $(obj)include $(obj)board/samsung/smdk6400 3021 @mkdir -p $(obj)include $(obj)board/samsung/smdk6400
3019 @mkdir -p $(obj)nand_spl/board/samsung/smdk6400 3022 @mkdir -p $(obj)nand_spl/board/samsung/smdk6400
3020 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h 3023 @echo "#define CONFIG_NAND_U_BOOT" > $(obj)include/config.h
3021 @if [ -z "$(findstring smdk6400_noUSB_config,$@)" ]; then \ 3024 @if [ -z "$(findstring smdk6400_noUSB_config,$@)" ]; then \
3022 echo "RAM_TEXT = 0x57e00000" >> $(obj)board/samsung/smdk6400/config.tmp;\ 3025 echo "RAM_TEXT = 0x57e00000" >> $(obj)board/samsung/smdk6400/config.tmp;\
3023 $(MKCONFIG) $(@:_config=) arm arm1176 smdk6400 samsung s3c64xx; \ 3026 $(MKCONFIG) $(@:_config=) arm arm1176 smdk6400 samsung s3c64xx; \
3024 else \ 3027 else \
3025 echo "RAM_TEXT = 0xc7e00000" >> $(obj)board/samsung/smdk6400/config.tmp;\ 3028 echo "RAM_TEXT = 0xc7e00000" >> $(obj)board/samsung/smdk6400/config.tmp;\
3026 $(MKCONFIG) $(@:_noUSB_config=) arm arm1176 smdk6400 samsung s3c64xx; \ 3029 $(MKCONFIG) $(@:_noUSB_config=) arm arm1176 smdk6400 samsung s3c64xx; \
3027 fi 3030 fi
3028 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk 3031 @echo "CONFIG_NAND_U_BOOT = y" >> $(obj)include/config.mk
3029 3032
3030 #======================================================================== 3033 #========================================================================
3031 # i386 3034 # i386
3032 #======================================================================== 3035 #========================================================================
3033 ######################################################################### 3036 #########################################################################
3034 ## AMD SC520 CDP 3037 ## AMD SC520 CDP
3035 ######################################################################### 3038 #########################################################################
3036 eNET_config : unconfig 3039 eNET_config : unconfig
3037 @$(MKCONFIG) $(@:_config=) i386 i386 eNET NULL sc520 3040 @$(MKCONFIG) $(@:_config=) i386 i386 eNET NULL sc520
3038 3041
3039 sc520_cdp_config : unconfig 3042 sc520_cdp_config : unconfig
3040 @$(MKCONFIG) $(@:_config=) i386 i386 sc520_cdp NULL sc520 3043 @$(MKCONFIG) $(@:_config=) i386 i386 sc520_cdp NULL sc520
3041 3044
3042 sc520_spunk_config : unconfig 3045 sc520_spunk_config : unconfig
3043 @$(MKCONFIG) $(@:_config=) i386 i386 sc520_spunk NULL sc520 3046 @$(MKCONFIG) $(@:_config=) i386 i386 sc520_spunk NULL sc520
3044 3047
3045 sc520_spunk_rel_config : unconfig 3048 sc520_spunk_rel_config : unconfig
3046 @$(MKCONFIG) $(@:_config=) i386 i386 sc520_spunk NULL sc520 3049 @$(MKCONFIG) $(@:_config=) i386 i386 sc520_spunk NULL sc520
3047 3050
3048 #======================================================================== 3051 #========================================================================
3049 # MIPS 3052 # MIPS
3050 #======================================================================== 3053 #========================================================================
3051 ######################################################################### 3054 #########################################################################
3052 ## MIPS32 4Kc 3055 ## MIPS32 4Kc
3053 ######################################################################### 3056 #########################################################################
3054 3057
3055 xtract_incaip = $(subst _100MHz,,$(subst _133MHz,,$(subst _150MHz,,$(subst _config,,$1)))) 3058 xtract_incaip = $(subst _100MHz,,$(subst _133MHz,,$(subst _150MHz,,$(subst _config,,$1))))
3056 3059
3057 incaip_100MHz_config \ 3060 incaip_100MHz_config \
3058 incaip_133MHz_config \ 3061 incaip_133MHz_config \
3059 incaip_150MHz_config \ 3062 incaip_150MHz_config \
3060 incaip_config: unconfig 3063 incaip_config: unconfig
3061 @mkdir -p $(obj)include 3064 @mkdir -p $(obj)include
3062 @[ -z "$(findstring _100MHz,$@)" ] || \ 3065 @[ -z "$(findstring _100MHz,$@)" ] || \
3063 { echo "#define CPU_CLOCK_RATE 100000000" >>$(obj)include/config.h ; \ 3066 { echo "#define CPU_CLOCK_RATE 100000000" >>$(obj)include/config.h ; \
3064 $(XECHO) "... with 100MHz system clock" ; \ 3067 $(XECHO) "... with 100MHz system clock" ; \
3065 } 3068 }
3066 @[ -z "$(findstring _133MHz,$@)" ] || \ 3069 @[ -z "$(findstring _133MHz,$@)" ] || \
3067 { echo "#define CPU_CLOCK_RATE 133000000" >>$(obj)include/config.h ; \ 3070 { echo "#define CPU_CLOCK_RATE 133000000" >>$(obj)include/config.h ; \
3068 $(XECHO) "... with 133MHz system clock" ; \ 3071 $(XECHO) "... with 133MHz system clock" ; \
3069 } 3072 }
3070 @[ -z "$(findstring _150MHz,$@)" ] || \ 3073 @[ -z "$(findstring _150MHz,$@)" ] || \
3071 { echo "#define CPU_CLOCK_RATE 150000000" >>$(obj)include/config.h ; \ 3074 { echo "#define CPU_CLOCK_RATE 150000000" >>$(obj)include/config.h ; \
3072 $(XECHO) "... with 150MHz system clock" ; \ 3075 $(XECHO) "... with 150MHz system clock" ; \
3073 } 3076 }
3074 @$(MKCONFIG) -a $(call xtract_incaip,$@) mips mips incaip 3077 @$(MKCONFIG) -a $(call xtract_incaip,$@) mips mips incaip
3075 3078
3076 tb0229_config: unconfig 3079 tb0229_config: unconfig
3077 @$(MKCONFIG) $(@:_config=) mips mips tb0229 3080 @$(MKCONFIG) $(@:_config=) mips mips tb0229
3078 3081
3079 ######################################################################### 3082 #########################################################################
3080 ## MIPS32 AU1X00 3083 ## MIPS32 AU1X00
3081 ######################################################################### 3084 #########################################################################
3082 3085
3083 dbau1000_config : unconfig 3086 dbau1000_config : unconfig
3084 @mkdir -p $(obj)include 3087 @mkdir -p $(obj)include
3085 @echo "#define CONFIG_DBAU1000 1" >$(obj)include/config.h 3088 @echo "#define CONFIG_DBAU1000 1" >$(obj)include/config.h
3086 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00 3089 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00
3087 3090
3088 dbau1100_config : unconfig 3091 dbau1100_config : unconfig
3089 @mkdir -p $(obj)include 3092 @mkdir -p $(obj)include
3090 @echo "#define CONFIG_DBAU1100 1" >$(obj)include/config.h 3093 @echo "#define CONFIG_DBAU1100 1" >$(obj)include/config.h
3091 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00 3094 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00
3092 3095
3093 dbau1500_config : unconfig 3096 dbau1500_config : unconfig
3094 @mkdir -p $(obj)include 3097 @mkdir -p $(obj)include
3095 @echo "#define CONFIG_DBAU1500 1" >$(obj)include/config.h 3098 @echo "#define CONFIG_DBAU1500 1" >$(obj)include/config.h
3096 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00 3099 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00
3097 3100
3098 dbau1550_config : unconfig 3101 dbau1550_config : unconfig
3099 @mkdir -p $(obj)include 3102 @mkdir -p $(obj)include
3100 @echo "#define CONFIG_DBAU1550 1" >$(obj)include/config.h 3103 @echo "#define CONFIG_DBAU1550 1" >$(obj)include/config.h
3101 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00 3104 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00
3102 3105
3103 dbau1550_el_config : unconfig 3106 dbau1550_el_config : unconfig
3104 @mkdir -p $(obj)include 3107 @mkdir -p $(obj)include
3105 @echo "#define CONFIG_DBAU1550 1" >$(obj)include/config.h 3108 @echo "#define CONFIG_DBAU1550 1" >$(obj)include/config.h
3106 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00 3109 @$(MKCONFIG) -a dbau1x00 mips mips dbau1x00
3107 3110
3108 gth2_config : unconfig 3111 gth2_config : unconfig
3109 @mkdir -p $(obj)include 3112 @mkdir -p $(obj)include
3110 @echo "#define CONFIG_GTH2 1" >$(obj)include/config.h 3113 @echo "#define CONFIG_GTH2 1" >$(obj)include/config.h
3111 @$(MKCONFIG) -a gth2 mips mips gth2 3114 @$(MKCONFIG) -a gth2 mips mips gth2
3112 3115
3113 pb1000_config : unconfig 3116 pb1000_config : unconfig
3114 @mkdir -p $(obj)include 3117 @mkdir -p $(obj)include
3115 @echo "#define CONFIG_PB1000 1" >$(obj)include/config.h 3118 @echo "#define CONFIG_PB1000 1" >$(obj)include/config.h
3116 @$(MKCONFIG) -a pb1x00 mips mips pb1x00 3119 @$(MKCONFIG) -a pb1x00 mips mips pb1x00
3117 3120
3118 qemu_mips_config : unconfig 3121 qemu_mips_config : unconfig
3119 @mkdir -p $(obj)include 3122 @mkdir -p $(obj)include
3120 @echo "#define CONFIG_QEMU_MIPS 1" >$(obj)include/config.h 3123 @echo "#define CONFIG_QEMU_MIPS 1" >$(obj)include/config.h
3121 @$(MKCONFIG) -a qemu-mips mips mips qemu-mips 3124 @$(MKCONFIG) -a qemu-mips mips mips qemu-mips
3122 3125
3123 ######################################################################### 3126 #########################################################################
3124 ## MIPS64 5Kc 3127 ## MIPS64 5Kc
3125 ######################################################################### 3128 #########################################################################
3126 3129
3127 purple_config : unconfig 3130 purple_config : unconfig
3128 @$(MKCONFIG) $(@:_config=) mips mips purple 3131 @$(MKCONFIG) $(@:_config=) mips mips purple
3129 3132
3130 #======================================================================== 3133 #========================================================================
3131 # Nios 3134 # Nios
3132 #======================================================================== 3135 #========================================================================
3133 ######################################################################### 3136 #########################################################################
3134 ## Nios32 3137 ## Nios32
3135 ######################################################################### 3138 #########################################################################
3136 3139
3137 ADNPESC1_DNPEVA2_base_32_config \ 3140 ADNPESC1_DNPEVA2_base_32_config \
3138 ADNPESC1_base_32_config \ 3141 ADNPESC1_base_32_config \
3139 ADNPESC1_config: unconfig 3142 ADNPESC1_config: unconfig
3140 @mkdir -p $(obj)include 3143 @mkdir -p $(obj)include
3141 @[ -z "$(findstring _DNPEVA2,$@)" ] || \ 3144 @[ -z "$(findstring _DNPEVA2,$@)" ] || \
3142 { echo "#define CONFIG_DNPEVA2 1" >>$(obj)include/config.h ; \ 3145 { echo "#define CONFIG_DNPEVA2 1" >>$(obj)include/config.h ; \
3143 $(XECHO) "... DNP/EVA2 configuration" ; \ 3146 $(XECHO) "... DNP/EVA2 configuration" ; \
3144 } 3147 }
3145 @[ -z "$(findstring _base_32,$@)" ] || \ 3148 @[ -z "$(findstring _base_32,$@)" ] || \
3146 { echo "#define CONFIG_NIOS_BASE_32 1" >>$(obj)include/config.h ; \ 3149 { echo "#define CONFIG_NIOS_BASE_32 1" >>$(obj)include/config.h ; \
3147 $(XECHO) "... NIOS 'base_32' configuration" ; \ 3150 $(XECHO) "... NIOS 'base_32' configuration" ; \
3148 } 3151 }
3149 @[ -z "$(findstring ADNPESC1_config,$@)" ] || \ 3152 @[ -z "$(findstring ADNPESC1_config,$@)" ] || \
3150 { echo "#define CONFIG_NIOS_BASE_32 1" >>$(obj)include/config.h ; \ 3153 { echo "#define CONFIG_NIOS_BASE_32 1" >>$(obj)include/config.h ; \
3151 $(XECHO) "... NIOS 'base_32' configuration (DEFAULT)" ; \ 3154 $(XECHO) "... NIOS 'base_32' configuration (DEFAULT)" ; \
3152 } 3155 }
3153 @$(MKCONFIG) -a ADNPESC1 nios nios adnpesc1 ssv 3156 @$(MKCONFIG) -a ADNPESC1 nios nios adnpesc1 ssv
3154 3157
3155 DK1C20_safe_32_config \ 3158 DK1C20_safe_32_config \
3156 DK1C20_standard_32_config \ 3159 DK1C20_standard_32_config \
3157 DK1C20_config: unconfig 3160 DK1C20_config: unconfig
3158 @mkdir -p $(obj)include 3161 @mkdir -p $(obj)include
3159 @[ -z "$(findstring _safe_32,$@)" ] || \ 3162 @[ -z "$(findstring _safe_32,$@)" ] || \
3160 { echo "#define CONFIG_NIOS_SAFE_32 1" >>$(obj)include/config.h ; \ 3163 { echo "#define CONFIG_NIOS_SAFE_32 1" >>$(obj)include/config.h ; \
3161 $(XECHO) "... NIOS 'safe_32' configuration" ; \ 3164 $(XECHO) "... NIOS 'safe_32' configuration" ; \
3162 } 3165 }
3163 @[ -z "$(findstring _standard_32,$@)" ] || \ 3166 @[ -z "$(findstring _standard_32,$@)" ] || \
3164 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \ 3167 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \
3165 $(XECHO) "... NIOS 'standard_32' configuration" ; \ 3168 $(XECHO) "... NIOS 'standard_32' configuration" ; \
3166 } 3169 }
3167 @[ -z "$(findstring DK1C20_config,$@)" ] || \ 3170 @[ -z "$(findstring DK1C20_config,$@)" ] || \
3168 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \ 3171 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \
3169 $(XECHO) "... NIOS 'standard_32' configuration (DEFAULT)" ; \ 3172 $(XECHO) "... NIOS 'standard_32' configuration (DEFAULT)" ; \
3170 } 3173 }
3171 @$(MKCONFIG) -a DK1C20 nios nios dk1c20 altera 3174 @$(MKCONFIG) -a DK1C20 nios nios dk1c20 altera
3172 3175
3173 DK1S10_safe_32_config \ 3176 DK1S10_safe_32_config \
3174 DK1S10_standard_32_config \ 3177 DK1S10_standard_32_config \
3175 DK1S10_mtx_ldk_20_config \ 3178 DK1S10_mtx_ldk_20_config \
3176 DK1S10_config: unconfig 3179 DK1S10_config: unconfig
3177 @mkdir -p $(obj)include 3180 @mkdir -p $(obj)include
3178 @[ -z "$(findstring _safe_32,$@)" ] || \ 3181 @[ -z "$(findstring _safe_32,$@)" ] || \
3179 { echo "#define CONFIG_NIOS_SAFE_32 1" >>$(obj)include/config.h ; \ 3182 { echo "#define CONFIG_NIOS_SAFE_32 1" >>$(obj)include/config.h ; \
3180 $(XECHO) "... NIOS 'safe_32' configuration" ; \ 3183 $(XECHO) "... NIOS 'safe_32' configuration" ; \
3181 } 3184 }
3182 @[ -z "$(findstring _standard_32,$@)" ] || \ 3185 @[ -z "$(findstring _standard_32,$@)" ] || \
3183 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \ 3186 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \
3184 $(XECHO) "... NIOS 'standard_32' configuration" ; \ 3187 $(XECHO) "... NIOS 'standard_32' configuration" ; \
3185 } 3188 }
3186 @[ -z "$(findstring _mtx_ldk_20,$@)" ] || \ 3189 @[ -z "$(findstring _mtx_ldk_20,$@)" ] || \
3187 { echo "#define CONFIG_NIOS_MTX_LDK_20 1" >>$(obj)include/config.h ; \ 3190 { echo "#define CONFIG_NIOS_MTX_LDK_20 1" >>$(obj)include/config.h ; \
3188 $(XECHO) "... NIOS 'mtx_ldk_20' configuration" ; \ 3191 $(XECHO) "... NIOS 'mtx_ldk_20' configuration" ; \
3189 } 3192 }
3190 @[ -z "$(findstring DK1S10_config,$@)" ] || \ 3193 @[ -z "$(findstring DK1S10_config,$@)" ] || \
3191 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \ 3194 { echo "#define CONFIG_NIOS_STANDARD_32 1" >>$(obj)include/config.h ; \
3192 $(XECHO) "... NIOS 'standard_32' configuration (DEFAULT)" ; \ 3195 $(XECHO) "... NIOS 'standard_32' configuration (DEFAULT)" ; \
3193 } 3196 }
3194 @$(MKCONFIG) -a DK1S10 nios nios dk1s10 altera 3197 @$(MKCONFIG) -a DK1S10 nios nios dk1s10 altera
3195 3198
3196 ######################################################################### 3199 #########################################################################
3197 ## Nios-II 3200 ## Nios-II
3198 ######################################################################### 3201 #########################################################################
3199 3202
3200 EP1C20_config : unconfig 3203 EP1C20_config : unconfig
3201 @$(MKCONFIG) EP1C20 nios2 nios2 ep1c20 altera 3204 @$(MKCONFIG) EP1C20 nios2 nios2 ep1c20 altera
3202 3205
3203 EP1S10_config : unconfig 3206 EP1S10_config : unconfig
3204 @$(MKCONFIG) EP1S10 nios2 nios2 ep1s10 altera 3207 @$(MKCONFIG) EP1S10 nios2 nios2 ep1s10 altera
3205 3208
3206 EP1S40_config : unconfig 3209 EP1S40_config : unconfig
3207 @$(MKCONFIG) EP1S40 nios2 nios2 ep1s40 altera 3210 @$(MKCONFIG) EP1S40 nios2 nios2 ep1s40 altera
3208 3211
3209 PK1C20_config : unconfig 3212 PK1C20_config : unconfig
3210 @$(MKCONFIG) PK1C20 nios2 nios2 pk1c20 psyent 3213 @$(MKCONFIG) PK1C20 nios2 nios2 pk1c20 psyent
3211 3214
3212 PCI5441_config : unconfig 3215 PCI5441_config : unconfig
3213 @$(MKCONFIG) PCI5441 nios2 nios2 pci5441 psyent 3216 @$(MKCONFIG) PCI5441 nios2 nios2 pci5441 psyent
3214 3217
3215 #======================================================================== 3218 #========================================================================
3216 ## Microblaze 3219 ## Microblaze
3217 #======================================================================== 3220 #========================================================================
3218 3221
3219 microblaze-generic_config: unconfig 3222 microblaze-generic_config: unconfig
3220 @mkdir -p $(obj)include 3223 @mkdir -p $(obj)include
3221 @$(MKCONFIG) -a $(@:_config=) microblaze microblaze microblaze-generic xilinx 3224 @$(MKCONFIG) -a $(@:_config=) microblaze microblaze microblaze-generic xilinx
3222 3225
3223 suzaku_config: unconfig 3226 suzaku_config: unconfig
3224 @mkdir -p $(obj)include 3227 @mkdir -p $(obj)include
3225 @echo "#define CONFIG_SUZAKU 1" > $(obj)include/config.h 3228 @echo "#define CONFIG_SUZAKU 1" > $(obj)include/config.h
3226 @$(MKCONFIG) -a $(@:_config=) microblaze microblaze suzaku AtmarkTechno 3229 @$(MKCONFIG) -a $(@:_config=) microblaze microblaze suzaku AtmarkTechno
3227 3230
3228 #======================================================================== 3231 #========================================================================
3229 # Blackfin 3232 # Blackfin
3230 #======================================================================== 3233 #========================================================================
3231 3234
3232 # Analog Devices boards 3235 # Analog Devices boards
3233 BFIN_BOARDS = bf533-ezkit bf533-stamp bf537-stamp bf561-ezkit 3236 BFIN_BOARDS = bf533-ezkit bf533-stamp bf537-stamp bf561-ezkit
3234 3237
3235 $(BFIN_BOARDS:%=%_config) : unconfig 3238 $(BFIN_BOARDS:%=%_config) : unconfig
3236 @$(MKCONFIG) $(@:_config=) blackfin blackfin $(@:_config=) 3239 @$(MKCONFIG) $(@:_config=) blackfin blackfin $(@:_config=)
3237 3240
3238 $(BFIN_BOARDS): 3241 $(BFIN_BOARDS):
3239 $(MAKE) $@_config 3242 $(MAKE) $@_config
3240 $(MAKE) 3243 $(MAKE)
3241 3244
3242 #======================================================================== 3245 #========================================================================
3243 # AVR32 3246 # AVR32
3244 #======================================================================== 3247 #========================================================================
3245 3248
3246 atngw100_config : unconfig 3249 atngw100_config : unconfig
3247 @$(MKCONFIG) $(@:_config=) avr32 at32ap atngw100 atmel at32ap700x 3250 @$(MKCONFIG) $(@:_config=) avr32 at32ap atngw100 atmel at32ap700x
3248 3251
3249 atstk1002_config : unconfig 3252 atstk1002_config : unconfig
3250 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x 3253 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x
3251 3254
3252 atstk1003_config : unconfig 3255 atstk1003_config : unconfig
3253 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x 3256 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x
3254 3257
3255 atstk1004_config : unconfig 3258 atstk1004_config : unconfig
3256 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x 3259 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x
3257 3260
3258 atstk1006_config : unconfig 3261 atstk1006_config : unconfig
3259 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x 3262 @$(MKCONFIG) $(@:_config=) avr32 at32ap atstk1000 atmel at32ap700x
3260 3263
3261 favr-32-ezkit_config : unconfig 3264 favr-32-ezkit_config : unconfig
3262 @$(MKCONFIG) $(@:_config=) avr32 at32ap favr-32-ezkit earthlcd at32ap700x 3265 @$(MKCONFIG) $(@:_config=) avr32 at32ap favr-32-ezkit earthlcd at32ap700x
3263 3266
3264 hammerhead_config : unconfig 3267 hammerhead_config : unconfig
3265 @$(MKCONFIG) $(@:_config=) avr32 at32ap hammerhead miromico at32ap700x 3268 @$(MKCONFIG) $(@:_config=) avr32 at32ap hammerhead miromico at32ap700x
3266 3269
3267 mimc200_config : unconfig 3270 mimc200_config : unconfig
3268 @$(MKCONFIG) $(@:_config=) avr32 at32ap mimc200 mimc at32ap700x 3271 @$(MKCONFIG) $(@:_config=) avr32 at32ap mimc200 mimc at32ap700x
3269 3272
3270 #======================================================================== 3273 #========================================================================
3271 # SH3 (SuperH) 3274 # SH3 (SuperH)
3272 #======================================================================== 3275 #========================================================================
3273 3276
3274 ######################################################################### 3277 #########################################################################
3275 ## sh2 (Renesas SuperH) 3278 ## sh2 (Renesas SuperH)
3276 ######################################################################### 3279 #########################################################################
3277 rsk7203_config: unconfig 3280 rsk7203_config: unconfig
3278 @mkdir -p $(obj)include 3281 @mkdir -p $(obj)include
3279 @echo "#define CONFIG_RSK7203 1" > $(obj)/include/config.h 3282 @echo "#define CONFIG_RSK7203 1" > $(obj)/include/config.h
3280 @$(MKCONFIG) -a $(@:_config=) sh sh2 rsk7203 renesas 3283 @$(MKCONFIG) -a $(@:_config=) sh sh2 rsk7203 renesas
3281 3284
3282 ######################################################################### 3285 #########################################################################
3283 ## sh3 (Renesas SuperH) 3286 ## sh3 (Renesas SuperH)
3284 ######################################################################### 3287 #########################################################################
3285 3288
3286 mpr2_config: unconfig 3289 mpr2_config: unconfig
3287 @mkdir -p $(obj)include 3290 @mkdir -p $(obj)include
3288 @echo "#define CONFIG_MPR2 1" > $(obj)include/config.h 3291 @echo "#define CONFIG_MPR2 1" > $(obj)include/config.h
3289 @$(MKCONFIG) -a $(@:_config=) sh sh3 mpr2 3292 @$(MKCONFIG) -a $(@:_config=) sh sh3 mpr2
3290 3293
3291 ms7720se_config: unconfig 3294 ms7720se_config: unconfig
3292 @mkdir -p $(obj)include 3295 @mkdir -p $(obj)include
3293 @echo "#define CONFIG_MS7720SE 1" > $(obj)include/config.h 3296 @echo "#define CONFIG_MS7720SE 1" > $(obj)include/config.h
3294 @$(MKCONFIG) -a $(@:_config=) sh sh3 ms7720se 3297 @$(MKCONFIG) -a $(@:_config=) sh sh3 ms7720se
3295 3298
3296 ######################################################################### 3299 #########################################################################
3297 ## sh4 (Renesas SuperH) 3300 ## sh4 (Renesas SuperH)
3298 ######################################################################### 3301 #########################################################################
3299 3302
3300 MigoR_config : unconfig 3303 MigoR_config : unconfig
3301 @mkdir -p $(obj)include 3304 @mkdir -p $(obj)include
3302 @echo "#define CONFIG_MIGO_R 1" > $(obj)include/config.h 3305 @echo "#define CONFIG_MIGO_R 1" > $(obj)include/config.h
3303 @$(MKCONFIG) -a $(@:_config=) sh sh4 MigoR renesas 3306 @$(MKCONFIG) -a $(@:_config=) sh sh4 MigoR renesas
3304 3307
3305 ms7750se_config: unconfig 3308 ms7750se_config: unconfig
3306 @mkdir -p $(obj)include 3309 @mkdir -p $(obj)include
3307 @echo "#define CONFIG_MS7750SE 1" > $(obj)include/config.h 3310 @echo "#define CONFIG_MS7750SE 1" > $(obj)include/config.h
3308 @$(MKCONFIG) -a $(@:_config=) sh sh4 ms7750se 3311 @$(MKCONFIG) -a $(@:_config=) sh sh4 ms7750se
3309 3312
3310 ms7722se_config : unconfig 3313 ms7722se_config : unconfig
3311 @mkdir -p $(obj)include 3314 @mkdir -p $(obj)include
3312 @echo "#define CONFIG_MS7722SE 1" > $(obj)include/config.h 3315 @echo "#define CONFIG_MS7722SE 1" > $(obj)include/config.h
3313 @$(MKCONFIG) -a $(@:_config=) sh sh4 ms7722se 3316 @$(MKCONFIG) -a $(@:_config=) sh sh4 ms7722se
3314 3317
3315 r2dplus_config : unconfig 3318 r2dplus_config : unconfig
3316 @mkdir -p $(obj)include 3319 @mkdir -p $(obj)include
3317 @echo "#define CONFIG_R2DPLUS 1" > $(obj)include/config.h 3320 @echo "#define CONFIG_R2DPLUS 1" > $(obj)include/config.h
3318 @$(MKCONFIG) -a $(@:_config=) sh sh4 r2dplus renesas 3321 @$(MKCONFIG) -a $(@:_config=) sh sh4 r2dplus renesas
3319 3322
3320 r7780mp_config: unconfig 3323 r7780mp_config: unconfig
3321 @mkdir -p $(obj)include 3324 @mkdir -p $(obj)include
3322 @echo "#define CONFIG_R7780MP 1" > $(obj)include/config.h 3325 @echo "#define CONFIG_R7780MP 1" > $(obj)include/config.h
3323 @$(MKCONFIG) -a $(@:_config=) sh sh4 r7780mp renesas 3326 @$(MKCONFIG) -a $(@:_config=) sh sh4 r7780mp renesas
3324 3327
3325 sh7763rdp_config : unconfig 3328 sh7763rdp_config : unconfig
3326 @mkdir -p $(obj)include 3329 @mkdir -p $(obj)include
3327 @echo "#define CONFIG_SH7763RDP 1" > $(obj)include/config.h 3330 @echo "#define CONFIG_SH7763RDP 1" > $(obj)include/config.h
3328 @$(MKCONFIG) -a $(@:_config=) sh sh4 sh7763rdp renesas 3331 @$(MKCONFIG) -a $(@:_config=) sh sh4 sh7763rdp renesas
3329 3332
3330 sh7785lcr_config : unconfig 3333 sh7785lcr_config : unconfig
3331 @ >include/config.h 3334 @ >include/config.h
3332 @echo "#define CONFIG_SH7785LCR 1" >> include/config.h 3335 @echo "#define CONFIG_SH7785LCR 1" >> include/config.h
3333 @$(MKCONFIG) -a $(@:_config=) sh sh4 sh7785lcr renesas 3336 @$(MKCONFIG) -a $(@:_config=) sh sh4 sh7785lcr renesas
3334 3337
3335 ap325rxa_config : unconfig 3338 ap325rxa_config : unconfig
3336 @mkdir -p $(obj)include 3339 @mkdir -p $(obj)include
3337 @echo "#define CONFIG_AP325RXA 1" > $(obj)include/config.h 3340 @echo "#define CONFIG_AP325RXA 1" > $(obj)include/config.h
3338 @$(MKCONFIG) -a $(@:_config=) sh sh4 ap325rxa renesas 3341 @$(MKCONFIG) -a $(@:_config=) sh sh4 ap325rxa renesas
3339 3342
3340 #======================================================================== 3343 #========================================================================
3341 # SPARC 3344 # SPARC
3342 #======================================================================== 3345 #========================================================================
3343 3346
3344 ######################################################################### 3347 #########################################################################
3345 ## LEON3 3348 ## LEON3
3346 ######################################################################### 3349 #########################################################################
3347 3350
3348 # Gaisler GR-XC3S-1500 board 3351 # Gaisler GR-XC3S-1500 board
3349 gr_xc3s_1500_config : unconfig 3352 gr_xc3s_1500_config : unconfig
3350 @$(MKCONFIG) $(@:_config=) sparc leon3 gr_xc3s_1500 gaisler 3353 @$(MKCONFIG) $(@:_config=) sparc leon3 gr_xc3s_1500 gaisler
3351 3354
3352 # Gaisler GR-CPCI-AX2000 board, a General purpose FPGA-AX system 3355 # Gaisler GR-CPCI-AX2000 board, a General purpose FPGA-AX system
3353 gr_cpci_ax2000_config : unconfig 3356 gr_cpci_ax2000_config : unconfig
3354 @$(MKCONFIG) $(@:_config=) sparc leon3 gr_cpci_ax2000 gaisler 3357 @$(MKCONFIG) $(@:_config=) sparc leon3 gr_cpci_ax2000 gaisler
3355 3358
3356 # Gaisler GRLIB template design (GPL SPARC/LEON3) for Altera NIOS 3359 # Gaisler GRLIB template design (GPL SPARC/LEON3) for Altera NIOS
3357 # Development board Stratix II edition, FPGA Device EP2S60. 3360 # Development board Stratix II edition, FPGA Device EP2S60.
3358 gr_ep2s60_config: unconfig 3361 gr_ep2s60_config: unconfig
3359 @$(MKCONFIG) $(@:_config=) sparc leon3 gr_ep2s60 gaisler 3362 @$(MKCONFIG) $(@:_config=) sparc leon3 gr_ep2s60 gaisler
3360 3363
3361 # Gaisler LEON3 GRSIM simulator 3364 # Gaisler LEON3 GRSIM simulator
3362 grsim_config : unconfig 3365 grsim_config : unconfig
3363 @$(MKCONFIG) $(@:_config=) sparc leon3 grsim gaisler 3366 @$(MKCONFIG) $(@:_config=) sparc leon3 grsim gaisler
3364 3367
3365 ######################################################################### 3368 #########################################################################
3366 ## LEON2 3369 ## LEON2
3367 ######################################################################### 3370 #########################################################################
3368 3371
3369 # Gaisler LEON2 GRSIM simulator 3372 # Gaisler LEON2 GRSIM simulator
3370 grsim_leon2_config : unconfig 3373 grsim_leon2_config : unconfig
3371 @$(MKCONFIG) $(@:_config=) sparc leon2 grsim_leon2 gaisler 3374 @$(MKCONFIG) $(@:_config=) sparc leon2 grsim_leon2 gaisler
3372 3375
3373 ######################################################################### 3376 #########################################################################
3374 ######################################################################### 3377 #########################################################################
3375 ######################################################################### 3378 #########################################################################
3376 3379
3377 clean: 3380 clean:
3378 @rm -f $(obj)examples/82559_eeprom $(obj)examples/eepro100_eeprom \ 3381 @rm -f $(obj)examples/82559_eeprom $(obj)examples/eepro100_eeprom \
3379 $(obj)examples/hello_world $(obj)examples/interrupt \ 3382 $(obj)examples/hello_world $(obj)examples/interrupt \
3380 $(obj)examples/mem_to_mem_idma2intr \ 3383 $(obj)examples/mem_to_mem_idma2intr \
3381 $(obj)examples/sched $(obj)examples/smc91111_eeprom \ 3384 $(obj)examples/sched $(obj)examples/smc91111_eeprom \
3382 $(obj)examples/test_burst $(obj)examples/timer 3385 $(obj)examples/test_burst $(obj)examples/timer
3383 @rm -f $(obj)tools/bmp_logo $(obj)tools/easylogo/easylogo \ 3386 @rm -f $(obj)tools/bmp_logo $(obj)tools/easylogo/easylogo \
3384 $(obj)tools/env/{fw_printenv,fw_setenv} \ 3387 $(obj)tools/env/{fw_printenv,fw_setenv} \
3385 $(obj)tools/envcrc \ 3388 $(obj)tools/envcrc \
3386 $(obj)tools/gdb/{astest,gdbcont,gdbsend} \ 3389 $(obj)tools/gdb/{astest,gdbcont,gdbsend} \
3387 $(obj)tools/gen_eth_addr $(obj)tools/img2srec \ 3390 $(obj)tools/gen_eth_addr $(obj)tools/img2srec \
3388 $(obj)tools/mkimage $(obj)tools/mpc86x_clk \ 3391 $(obj)tools/mkimage $(obj)tools/mpc86x_clk \
3389 $(obj)tools/ncb $(obj)tools/ubsha1 3392 $(obj)tools/ncb $(obj)tools/ubsha1
3390 @rm -f $(obj)board/cray/L1/{bootscript.c,bootscript.image} \ 3393 @rm -f $(obj)board/cray/L1/{bootscript.c,bootscript.image} \
3391 $(obj)board/netstar/{eeprom,crcek,crcit,*.srec,*.bin} \ 3394 $(obj)board/netstar/{eeprom,crcek,crcit,*.srec,*.bin} \
3392 $(obj)board/trab/trab_fkt $(obj)board/voiceblue/eeprom \ 3395 $(obj)board/trab/trab_fkt $(obj)board/voiceblue/eeprom \
3393 $(obj)board/{integratorap,integratorcp}/u-boot.lds \ 3396 $(obj)board/{integratorap,integratorcp}/u-boot.lds \
3394 $(obj)board/{bf533-ezkit,bf533-stamp,bf537-stamp,bf561-ezkit}/u-boot.lds \ 3397 $(obj)board/{bf533-ezkit,bf533-stamp,bf537-stamp,bf561-ezkit}/u-boot.lds \
3395 $(obj)cpu/blackfin/bootrom-asm-offsets.[chs] 3398 $(obj)cpu/blackfin/bootrom-asm-offsets.[chs]
3396 @rm -f $(obj)include/bmp_logo.h 3399 @rm -f $(obj)include/bmp_logo.h
3397 @rm -f $(obj)nand_spl/{u-boot-spl,u-boot-spl.map,System.map} 3400 @rm -f $(obj)nand_spl/{u-boot-spl,u-boot-spl.map,System.map}
3398 @rm -f $(obj)onenand_ipl/onenand-{ipl,ipl.bin,ipl-2k.bin,ipl-4k.bin,ipl.map} 3401 @rm -f $(obj)onenand_ipl/onenand-{ipl,ipl.bin,ipl-2k.bin,ipl-4k.bin,ipl.map}
3399 @rm -f $(obj)api_examples/demo $(TIMESTAMP_FILE) $(VERSION_FILE) 3402 @rm -f $(obj)api_examples/demo $(TIMESTAMP_FILE) $(VERSION_FILE)
3400 @find $(OBJTREE) -type f \ 3403 @find $(OBJTREE) -type f \
3401 \( -name 'core' -o -name '*.bak' -o -name '*~' \ 3404 \( -name 'core' -o -name '*.bak' -o -name '*~' \
3402 -o -name '*.o' -o -name '*.a' \) -print \ 3405 -o -name '*.o' -o -name '*.a' \) -print \
3403 | xargs rm -f 3406 | xargs rm -f
3404 3407
3405 clobber: clean 3408 clobber: clean
3406 @find $(OBJTREE) -type f \( -name .depend \ 3409 @find $(OBJTREE) -type f \( -name .depend \
3407 -o -name '*.srec' -o -name '*.bin' -o -name u-boot.img \) \ 3410 -o -name '*.srec' -o -name '*.bin' -o -name u-boot.img \) \
3408 -print0 \ 3411 -print0 \
3409 | xargs -0 rm -f 3412 | xargs -0 rm -f
3410 @rm -f $(OBJS) $(obj)*.bak $(obj)ctags $(obj)etags $(obj)TAGS \ 3413 @rm -f $(OBJS) $(obj)*.bak $(obj)ctags $(obj)etags $(obj)TAGS \
3411 $(obj)cscope.* $(obj)*.*~ 3414 $(obj)cscope.* $(obj)*.*~
3412 @rm -f $(obj)u-boot $(obj)u-boot.map $(obj)u-boot.hex $(ALL) 3415 @rm -f $(obj)u-boot $(obj)u-boot.map $(obj)u-boot.hex $(ALL)
3413 @rm -f $(obj)tools/{crc32.c,env_embedded.c,env/crc32.c,md5.c,sha1.c,inca-swap-bytes} 3416 @rm -f $(obj)tools/{crc32.c,env_embedded.c,env/crc32.c,md5.c,sha1.c,inca-swap-bytes}
3414 @rm -f $(obj)tools/{image.c,fdt.c,fdt_ro.c,fdt_rw.c,fdt_strerror.c,zlib.h} 3417 @rm -f $(obj)tools/{image.c,fdt.c,fdt_ro.c,fdt_rw.c,fdt_strerror.c,zlib.h}
3415 @rm -f $(obj)tools/{fdt_wip.c,libfdt_internal.h} 3418 @rm -f $(obj)tools/{fdt_wip.c,libfdt_internal.h}
3416 @rm -f $(obj)cpu/mpc824x/bedbug_603e.c 3419 @rm -f $(obj)cpu/mpc824x/bedbug_603e.c
3417 @rm -f $(obj)include/asm/proc $(obj)include/asm/arch $(obj)include/asm 3420 @rm -f $(obj)include/asm/proc $(obj)include/asm/arch $(obj)include/asm
3418 @[ ! -d $(obj)nand_spl ] || find $(obj)nand_spl -name "*" -type l -print | xargs rm -f 3421 @[ ! -d $(obj)nand_spl ] || find $(obj)nand_spl -name "*" -type l -print | xargs rm -f
3419 @[ ! -d $(obj)onenand_ipl ] || find $(obj)onenand_ipl -name "*" -type l -print | xargs rm -f 3422 @[ ! -d $(obj)onenand_ipl ] || find $(obj)onenand_ipl -name "*" -type l -print | xargs rm -f
3420 @[ ! -d $(obj)api_examples ] || find $(obj)api_examples -name "*" -type l -print | xargs rm -f 3423 @[ ! -d $(obj)api_examples ] || find $(obj)api_examples -name "*" -type l -print | xargs rm -f
3421 3424
3422 ifeq ($(OBJTREE),$(SRCTREE)) 3425 ifeq ($(OBJTREE),$(SRCTREE))
3423 mrproper \ 3426 mrproper \
3424 distclean: clobber unconfig 3427 distclean: clobber unconfig
3425 else 3428 else
3426 mrproper \ 3429 mrproper \
3427 distclean: clobber unconfig 3430 distclean: clobber unconfig
3428 rm -rf $(obj)* 3431 rm -rf $(obj)*
3429 endif 3432 endif
3430 3433
3431 backup: 3434 backup:
3432 F=`basename $(TOPDIR)` ; cd .. ; \ 3435 F=`basename $(TOPDIR)` ; cd .. ; \
3433 gtar --force-local -zcvf `date "+$$F-%Y-%m-%d-%T.tar.gz"` $$F 3436 gtar --force-local -zcvf `date "+$$F-%Y-%m-%d-%T.tar.gz"` $$F
3434 3437
3435 ######################################################################### 3438 #########################################################################
3436 3439
board/omap3/common/Makefile
1 # 1 #
2 # (C) Copyright 2006 2 # (C) Copyright 2006
3 # Wolfgang Denk, DENX Software Engineering, wd@denx.de. 3 # Wolfgang Denk, DENX Software Engineering, wd@denx.de.
4 # 4 #
5 # See file CREDITS for list of people who contributed to this 5 # See file CREDITS for list of people who contributed to this
6 # project. 6 # project.
7 # 7 #
8 # This program is free software; you can redistribute it and/or 8 # This program is free software; you can redistribute it and/or
9 # modify it under the terms of the GNU General Public License as 9 # modify it under the terms of the GNU General Public License as
10 # published by the Free Software Foundation; either version 2 of 10 # published by the Free Software Foundation; either version 2 of
11 # the License, or (at your option) any later version. 11 # the License, or (at your option) any later version.
12 # 12 #
13 # This program is distributed in the hope that it will be useful, 13 # This program is distributed in the hope that it will be useful,
14 # but WITHOUT ANY WARRANTY; without even the implied warranty of 14 # but WITHOUT ANY WARRANTY; without even the implied warranty of
15 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the 15 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 # GNU General Public License for more details. 16 # GNU General Public License for more details.
17 # 17 #
18 # You should have received a copy of the GNU General Public License 18 # You should have received a copy of the GNU General Public License
19 # along with this program; if not, write to the Free Software 19 # along with this program; if not, write to the Free Software
20 # Foundation, Inc., 59 Temple Place, Suite 330, Boston, 20 # Foundation, Inc., 59 Temple Place, Suite 330, Boston,
21 # MA 02111-1307 USA 21 # MA 02111-1307 USA
22 # 22 #
23 23
24 include $(TOPDIR)/config.mk 24 include $(TOPDIR)/config.mk
25 25
26 ifneq ($(OBJTREE),$(SRCTREE)) 26 ifneq ($(OBJTREE),$(SRCTREE))
27 $(shell mkdir -p $(obj)board/$(VENDOR)/common) 27 $(shell mkdir -p $(obj)board/$(VENDOR)/common)
28 endif 28 endif
29 29
30 LIB = $(obj)lib$(VENDOR).a 30 LIB = $(obj)lib$(VENDOR).a
31 31
32 COBJS-$(CONFIG_OMAP3_BEAGLE) += power.o 32 COBJS-$(CONFIG_OMAP3_BEAGLE) += power.o
33 COBJS-$(CONFIG_OMAP3_OVERO) += power.o
33 34
34 COBJS := $(COBJS-y) 35 COBJS := $(COBJS-y)
35 SRCS := $(COBJS:.o=.c) 36 SRCS := $(COBJS:.o=.c)
36 OBJS := $(addprefix $(obj),$(COBJS)) 37 OBJS := $(addprefix $(obj),$(COBJS))
37 38
38 all: $(LIB) 39 all: $(LIB)
39 40
40 $(LIB): $(obj).depend $(OBJS) 41 $(LIB): $(obj).depend $(OBJS)
41 $(AR) $(ARFLAGS) $@ $(OBJS) 42 $(AR) $(ARFLAGS) $@ $(OBJS)
42 43
43 ######################################################################### 44 #########################################################################
44 45
45 # defines $(obj).depend target 46 # defines $(obj).depend target
46 include $(SRCTREE)/rules.mk 47 include $(SRCTREE)/rules.mk
47 48
48 sinclude $(obj).depend 49 sinclude $(obj).depend
49 50
50 ######################################################################### 51 #########################################################################
51 52
board/omap3/overo/Makefile
File was created 1 #
2 # (C) Copyright 2000, 2001, 2002
3 # Wolfgang Denk, DENX Software Engineering, wd@denx.de.
4 #
5 # See file CREDITS for list of people who contributed to this
6 # project.
7 #
8 # This program is free software; you can redistribute it and/or
9 # modify it under the terms of the GNU General Public License as
10 # published by the Free Software Foundation; either version 2 of
11 # the License, or (at your option) any later version.
12 #
13 # This program is distributed in the hope that it will be useful,
14 # but WITHOUT ANY WARRANTY; without even the implied warranty of
15 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 # GNU General Public License for more details.
17 #
18 # You should have received a copy of the GNU General Public License
19 # along with this program; if not, write to the Free Software
20 # Foundation, Inc., 59 Temple Place, Suite 330, Boston,
21 # MA 02111-1307 USA
22 #
23
24 include $(TOPDIR)/config.mk
25
26 LIB = $(obj)lib$(BOARD).a
27
28 COBJS := overo.o
29
30 SRCS := $(COBJS:.o=.c)
31 OBJS := $(addprefix $(obj),$(COBJS))
32
33 $(LIB): $(obj).depend $(OBJS)
34 $(AR) $(ARFLAGS) $@ $(OBJS)
35
36 clean:
37 rm -f $(OBJS)
38
39 distclean: clean
40 rm -f $(LIB) core *.bak $(obj).depend
41
42 #########################################################################
43
44 # defines $(obj).depend target
45 include $(SRCTREE)/rules.mk
46
47 sinclude $(obj).depend
48
49
board/omap3/overo/config.mk
File was created 1 #
2 # Overo uses OMAP3 (ARM-CortexA8) cpu
3 #
4 # See file CREDITS for list of people who contributed to this
5 # project.
6 #
7 # This program is free software; you can redistribute it and/or
8 # modify it under the terms of the GNU General Public License as
9 # published by the Free Software Foundation; either version 2 of
10 # the License, or (at your option) any later version.
11 #
12 # This program is distributed in the hope that it will be useful,
13 # but WITHOUT ANY WARRANTY; without even the implied warranty of
14 # MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
15 # GNU General Public License for more details.
16 #
17 # You should have received a copy of the GNU General Public License
18 # along with this program; if not, write to the Free Software
19 # Foundation, Inc., 59 Temple Place, Suite 330, Boston,
20 # MA 02111-1307 USA
21 #
22 # Physical Address:
23 # 8000'0000 (bank0)
24 # A000/0000 (bank1)
25 # Linux-Kernel is expected to be at 8000'8000, entry 8000'8000
26 # (mem base + reserved)
27
28 # For use with external or internal boots.
29 TEXT_BASE = 0x80e80000
30
board/omap3/overo/overo.c
File was created 1 /*
2 * Maintainer : Steve Sakoman <steve@sakoman.com>
3 *
4 * Derived from Beagle Board, 3430 SDP, and OMAP3EVM code by
5 * Richard Woodruff <r-woodruff2@ti.com>
6 * Syed Mohammed Khasim <khasim@ti.com>
7 * Sunil Kumar <sunilsaini05@gmail.com>
8 * Shashi Ranjan <shashiranjanmca05@gmail.com>
9 *
10 * (C) Copyright 2004-2008
11 * Texas Instruments, <www.ti.com>
12 *
13 * See file CREDITS for list of people who contributed to this
14 * project.
15 *
16 * This program is free software; you can redistribute it and/or
17 * modify it under the terms of the GNU General Public License as
18 * published by the Free Software Foundation; either version 2 of
19 * the License, or (at your option) any later version.
20 *
21 * This program is distributed in the hope that it will be useful,
22 * but WITHOUT ANY WARRANTY; without even the implied warranty of
23 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
24 * GNU General Public License for more details.
25 *
26 * You should have received a copy of the GNU General Public License
27 * along with this program; if not, write to the Free Software
28 * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
29 * MA 02111-1307 USA
30 */
31 #include <common.h>
32 #include <asm/io.h>
33 #include <asm/arch/mux.h>
34 #include <asm/arch/sys_proto.h>
35 #include <asm/mach-types.h>
36 #include "overo.h"
37
38 /******************************************************************************
39 * Routine: board_init
40 * Description: Early hardware init.
41 *****************************************************************************/
42 int board_init(void)
43 {
44 DECLARE_GLOBAL_DATA_PTR;
45
46 gpmc_init(); /* in SRAM or SDRAM, finish GPMC */
47 /* board id for Linux */
48 gd->bd->bi_arch_number = MACH_TYPE_OVERO;
49 /* boot param addr */
50 gd->bd->bi_boot_params = (OMAP34XX_SDRC_CS0 + 0x100);
51
52 return 0;
53 }
54
55 /******************************************************************************
56 * Routine: misc_init_r
57 * Description: Configure board specific parts
58 *****************************************************************************/
59 int misc_init_r(void)
60 {
61 gpio_t *gpio5_base = (gpio_t *)OMAP34XX_GPIO5_BASE;
62 gpio_t *gpio6_base = (gpio_t *)OMAP34XX_GPIO6_BASE;
63
64 power_init_r();
65
66 /* Configure GPIOs to output */
67 writel(~((GPIO10) | GPIO9 | GPIO3 | GPIO2), &gpio6_base->oe);
68 writel(~(GPIO31 | GPIO30 | GPIO29 | GPIO28 | GPIO22 | GPIO21 |
69 GPIO15 | GPIO14 | GPIO13 | GPIO12), &gpio5_base->oe);
70
71 /* Set GPIOs */
72 writel(GPIO10 | GPIO9 | GPIO3 | GPIO2, &gpio6_base->setdataout);
73 writel(GPIO31 | GPIO30 | GPIO29 | GPIO28 | GPIO22 | GPIO21 |
74 GPIO15 | GPIO14 | GPIO13 | GPIO12, &gpio5_base->setdataout);
75
76 return 0;
77 }
78
79 /******************************************************************************
80 * Routine: set_muxconf_regs
81 * Description: Setting up the configuration Mux registers specific to the
82 * hardware. Many pins need to be moved from protect to primary
83 * mode.
84 *****************************************************************************/
85 void set_muxconf_regs(void)
86 {
87 MUX_OVERO();
88 }
89
board/omap3/overo/overo.h
File was created 1 /*
2 * (C) Copyright 2008
3 * Steve Sakoman <steve@sakoman.com>
4 *
5 * See file CREDITS for list of people who contributed to this
6 * project.
7 *
8 * This program is free software; you can redistribute it and/or
9 * modify it under the terms of the GNU General Public License as
10 * published by the Free Software Foundation; either version 2 of
11 * the License, or (at your option) any later version.
12 *
13 * This program is distributed in the hope that it will be useful,
14 * but WITHOUT ANY WARRANTY; without even the implied warranty of
15 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
16 * GNU General Public License for more details.
17 *
18 * You should have received a copy of the GNU General Public License
19 * along with this program; if not, write to the Free Software
20 * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
21 * MA 02111-1307 USA
22 */
23 #ifndef _OVERO_H_
24 #define _OVERO_H_
25
26 const omap3_sysinfo sysinfo = {
27 SDP_3430_V1,
28 SDP_3430_V2,
29 DDR_STACKED,
30 "3503",
31 "Gumstix Overo board",
32 #if defined(CONFIG_ENV_IS_IN_ONENAND)
33 "OneNAND",
34 #else
35 "NAND",
36 #endif
37 };
38
39 /*
40 * IEN - Input Enable
41 * IDIS - Input Disable
42 * PTD - Pull type Down
43 * PTU - Pull type Up
44 * DIS - Pull type selection is inactive
45 * EN - Pull type selection is active
46 * M0 - Mode 0
47 * The commented string gives the final mux configuration for that pin
48 */
49 #define MUX_OVERO() \
50 /*SDRC*/\
51 MUX_VAL(CP(SDRC_D0), (IEN | PTD | DIS | M0)) /*SDRC_D0*/\
52 MUX_VAL(CP(SDRC_D1), (IEN | PTD | DIS | M0)) /*SDRC_D1*/\
53 MUX_VAL(CP(SDRC_D2), (IEN | PTD | DIS | M0)) /*SDRC_D2*/\
54 MUX_VAL(CP(SDRC_D3), (IEN | PTD | DIS | M0)) /*SDRC_D3*/\
55 MUX_VAL(CP(SDRC_D4), (IEN | PTD | DIS | M0)) /*SDRC_D4*/\
56 MUX_VAL(CP(SDRC_D5), (IEN | PTD | DIS | M0)) /*SDRC_D5*/\
57 MUX_VAL(CP(SDRC_D6), (IEN | PTD | DIS | M0)) /*SDRC_D6*/\
58 MUX_VAL(CP(SDRC_D7), (IEN | PTD | DIS | M0)) /*SDRC_D7*/\
59 MUX_VAL(CP(SDRC_D8), (IEN | PTD | DIS | M0)) /*SDRC_D8*/\
60 MUX_VAL(CP(SDRC_D9), (IEN | PTD | DIS | M0)) /*SDRC_D9*/\
61 MUX_VAL(CP(SDRC_D10), (IEN | PTD | DIS | M0)) /*SDRC_D10*/\
62 MUX_VAL(CP(SDRC_D11), (IEN | PTD | DIS | M0)) /*SDRC_D11*/\
63 MUX_VAL(CP(SDRC_D12), (IEN | PTD | DIS | M0)) /*SDRC_D12*/\
64 MUX_VAL(CP(SDRC_D13), (IEN | PTD | DIS | M0)) /*SDRC_D13*/\
65 MUX_VAL(CP(SDRC_D14), (IEN | PTD | DIS | M0)) /*SDRC_D14*/\
66 MUX_VAL(CP(SDRC_D15), (IEN | PTD | DIS | M0)) /*SDRC_D15*/\
67 MUX_VAL(CP(SDRC_D16), (IEN | PTD | DIS | M0)) /*SDRC_D16*/\
68 MUX_VAL(CP(SDRC_D17), (IEN | PTD | DIS | M0)) /*SDRC_D17*/\
69 MUX_VAL(CP(SDRC_D18), (IEN | PTD | DIS | M0)) /*SDRC_D18*/\
70 MUX_VAL(CP(SDRC_D19), (IEN | PTD | DIS | M0)) /*SDRC_D19*/\
71 MUX_VAL(CP(SDRC_D20), (IEN | PTD | DIS | M0)) /*SDRC_D20*/\
72 MUX_VAL(CP(SDRC_D21), (IEN | PTD | DIS | M0)) /*SDRC_D21*/\
73 MUX_VAL(CP(SDRC_D22), (IEN | PTD | DIS | M0)) /*SDRC_D22*/\
74 MUX_VAL(CP(SDRC_D23), (IEN | PTD | DIS | M0)) /*SDRC_D23*/\
75 MUX_VAL(CP(SDRC_D24), (IEN | PTD | DIS | M0)) /*SDRC_D24*/\
76 MUX_VAL(CP(SDRC_D25), (IEN | PTD | DIS | M0)) /*SDRC_D25*/\
77 MUX_VAL(CP(SDRC_D26), (IEN | PTD | DIS | M0)) /*SDRC_D26*/\
78 MUX_VAL(CP(SDRC_D27), (IEN | PTD | DIS | M0)) /*SDRC_D27*/\
79 MUX_VAL(CP(SDRC_D28), (IEN | PTD | DIS | M0)) /*SDRC_D28*/\
80 MUX_VAL(CP(SDRC_D29), (IEN | PTD | DIS | M0)) /*SDRC_D29*/\
81 MUX_VAL(CP(SDRC_D30), (IEN | PTD | DIS | M0)) /*SDRC_D30*/\
82 MUX_VAL(CP(SDRC_D31), (IEN | PTD | DIS | M0)) /*SDRC_D31*/\
83 MUX_VAL(CP(SDRC_CLK), (IEN | PTD | DIS | M0)) /*SDRC_CLK*/\
84 MUX_VAL(CP(SDRC_DQS0), (IEN | PTD | DIS | M0)) /*SDRC_DQS0*/\
85 MUX_VAL(CP(SDRC_DQS1), (IEN | PTD | DIS | M0)) /*SDRC_DQS1*/\
86 MUX_VAL(CP(SDRC_DQS2), (IEN | PTD | DIS | M0)) /*SDRC_DQS2*/\
87 MUX_VAL(CP(SDRC_DQS3), (IEN | PTD | DIS | M0)) /*SDRC_DQS3*/\
88 /*GPMC*/\
89 MUX_VAL(CP(GPMC_A1), (IDIS | PTD | DIS | M0)) /*GPMC_A1*/\
90 MUX_VAL(CP(GPMC_A2), (IDIS | PTD | DIS | M0)) /*GPMC_A2*/\
91 MUX_VAL(CP(GPMC_A3), (IDIS | PTD | DIS | M0)) /*GPMC_A3*/\
92 MUX_VAL(CP(GPMC_A4), (IDIS | PTD | DIS | M0)) /*GPMC_A4*/\
93 MUX_VAL(CP(GPMC_A5), (IDIS | PTD | DIS | M0)) /*GPMC_A5*/\
94 MUX_VAL(CP(GPMC_A6), (IDIS | PTD | DIS | M0)) /*GPMC_A6*/\
95 MUX_VAL(CP(GPMC_A7), (IDIS | PTD | DIS | M0)) /*GPMC_A7*/\
96 MUX_VAL(CP(GPMC_A8), (IDIS | PTD | DIS | M0)) /*GPMC_A8*/\
97 MUX_VAL(CP(GPMC_A9), (IDIS | PTD | DIS | M0)) /*GPMC_A9*/\
98 MUX_VAL(CP(GPMC_A10), (IDIS | PTD | DIS | M0)) /*GPMC_A10*/\
99 MUX_VAL(CP(GPMC_D0), (IEN | PTD | DIS | M0)) /*GPMC_D0*/\
100 MUX_VAL(CP(GPMC_D1), (IEN | PTD | DIS | M0)) /*GPMC_D1*/\
101 MUX_VAL(CP(GPMC_D2), (IEN | PTD | DIS | M0)) /*GPMC_D2*/\
102 MUX_VAL(CP(GPMC_D3), (IEN | PTD | DIS | M0)) /*GPMC_D3*/\
103 MUX_VAL(CP(GPMC_D4), (IEN | PTD | DIS | M0)) /*GPMC_D4*/\
104 MUX_VAL(CP(GPMC_D5), (IEN | PTD | DIS | M0)) /*GPMC_D5*/\
105 MUX_VAL(CP(GPMC_D6), (IEN | PTD | DIS | M0)) /*GPMC_D6*/\
106 MUX_VAL(CP(GPMC_D7), (IEN | PTD | DIS | M0)) /*GPMC_D7*/\
107 MUX_VAL(CP(GPMC_D8), (IEN | PTD | DIS | M0)) /*GPMC_D8*/\
108 MUX_VAL(CP(GPMC_D9), (IEN | PTD | DIS | M0)) /*GPMC_D9*/\
109 MUX_VAL(CP(GPMC_D10), (IEN | PTD | DIS | M0)) /*GPMC_D10*/\
110 MUX_VAL(CP(GPMC_D11), (IEN | PTD | DIS | M0)) /*GPMC_D11*/\
111 MUX_VAL(CP(GPMC_D12), (IEN | PTD | DIS | M0)) /*GPMC_D12*/\
112 MUX_VAL(CP(GPMC_D13), (IEN | PTD | DIS | M0)) /*GPMC_D13*/\
113 MUX_VAL(CP(GPMC_D14), (IEN | PTD | DIS | M0)) /*GPMC_D14*/\
114 MUX_VAL(CP(GPMC_D15), (IEN | PTD | DIS | M0)) /*GPMC_D15*/\
115 MUX_VAL(CP(GPMC_NCS0), (IDIS | PTU | EN | M0)) /*GPMC_nCS0*/\
116 MUX_VAL(CP(GPMC_NCS1), (IDIS | PTU | EN | M0)) /*GPMC_nCS1*/\
117 MUX_VAL(CP(GPMC_NCS2), (IDIS | PTU | EN | M0)) /*GPMC_nCS2*/\
118 MUX_VAL(CP(GPMC_NCS3), (IEN | PTU | EN | M4)) /*GPIO_54*/\
119 /* - MMC1_WP*/\
120 MUX_VAL(CP(GPMC_NCS4), (IDIS | PTU | EN | M0)) /*GPMC_nCS4*/\
121 MUX_VAL(CP(GPMC_NCS5), (IDIS | PTD | DIS | M0)) /*GPMC_nCS5*/\
122 MUX_VAL(CP(GPMC_NCS6), (IEN | PTD | DIS | M0)) /*GPMC_nCS6*/\
123 MUX_VAL(CP(GPMC_NCS7), (IEN | PTU | EN | M0)) /*GPMC_nCS7*/\
124 MUX_VAL(CP(GPMC_NBE1), (IEN | PTD | DIS | M0)) /*GPMC_nCS3*/\
125 MUX_VAL(CP(GPMC_CLK), (IDIS | PTD | DIS | M0)) /*GPMC_CLK*/\
126 MUX_VAL(CP(GPMC_NADV_ALE), (IDIS | PTD | DIS | M0)) /*GPMC_nADV_ALE*/\
127 MUX_VAL(CP(GPMC_NOE), (IDIS | PTD | DIS | M0)) /*GPMC_nOE*/\
128 MUX_VAL(CP(GPMC_NWE), (IDIS | PTD | DIS | M0)) /*GPMC_nWE*/\
129 MUX_VAL(CP(GPMC_NBE0_CLE), (IDIS | PTD | DIS | M0)) /*GPMC_nBE0_CLE*/\
130 MUX_VAL(CP(GPMC_NWP), (IEN | PTD | DIS | M0)) /*GPMC_nWP*/\
131 MUX_VAL(CP(GPMC_WAIT0), (IEN | PTU | EN | M0)) /*GPMC_WAIT0*/\
132 MUX_VAL(CP(GPMC_WAIT1), (IEN | PTU | EN | M0)) /*GPMC_WAIT1*/\
133 MUX_VAL(CP(GPMC_WAIT2), (IEN | PTU | EN | M0)) /*GPMC_nCS3*/\
134 MUX_VAL(CP(GPMC_WAIT3), (IEN | PTU | EN | M0)) /*GPMC_nCS3*/\
135 /*DSS*/\
136 MUX_VAL(CP(DSS_PCLK), (IDIS | PTD | DIS | M0)) /*DSS_PCLK*/\
137 MUX_VAL(CP(DSS_HSYNC), (IDIS | PTD | DIS | M0)) /*DSS_HSYNC*/\
138 MUX_VAL(CP(DSS_VSYNC), (IDIS | PTD | DIS | M0)) /*DSS_VSYNC*/\
139 MUX_VAL(CP(DSS_ACBIAS), (IDIS | PTD | DIS | M0)) /*DSS_ACBIAS*/\
140 MUX_VAL(CP(DSS_DATA0), (IDIS | PTD | DIS | M0)) /*DSS_DATA0*/\
141 MUX_VAL(CP(DSS_DATA1), (IDIS | PTD | DIS | M0)) /*DSS_DATA1*/\
142 MUX_VAL(CP(DSS_DATA2), (IDIS | PTD | DIS | M0)) /*DSS_DATA2*/\
143 MUX_VAL(CP(DSS_DATA3), (IDIS | PTD | DIS | M0)) /*DSS_DATA3*/\
144 MUX_VAL(CP(DSS_DATA4), (IDIS | PTD | DIS | M0)) /*DSS_DATA4*/\
145 MUX_VAL(CP(DSS_DATA5), (IDIS | PTD | DIS | M0)) /*DSS_DATA5*/\
146 MUX_VAL(CP(DSS_DATA6), (IDIS | PTD | DIS | M0)) /*DSS_DATA6*/\
147 MUX_VAL(CP(DSS_DATA7), (IDIS | PTD | DIS | M0)) /*DSS_DATA7*/\
148 MUX_VAL(CP(DSS_DATA8), (IDIS | PTD | DIS | M0)) /*DSS_DATA8*/\
149 MUX_VAL(CP(DSS_DATA9), (IDIS | PTD | DIS | M0)) /*DSS_DATA9*/\
150 MUX_VAL(CP(DSS_DATA10), (IDIS | PTD | DIS | M0)) /*DSS_DATA10*/\
151 MUX_VAL(CP(DSS_DATA11), (IDIS | PTD | DIS | M0)) /*DSS_DATA11*/\
152 MUX_VAL(CP(DSS_DATA12), (IDIS | PTD | DIS | M0)) /*DSS_DATA12*/\
153 MUX_VAL(CP(DSS_DATA13), (IDIS | PTD | DIS | M0)) /*DSS_DATA13*/\
154 MUX_VAL(CP(DSS_DATA14), (IDIS | PTD | DIS | M0)) /*DSS_DATA14*/\
155 MUX_VAL(CP(DSS_DATA15), (IDIS | PTD | DIS | M0)) /*DSS_DATA15*/\
156 MUX_VAL(CP(DSS_DATA16), (IDIS | PTD | DIS | M0)) /*DSS_DATA16*/\
157 MUX_VAL(CP(DSS_DATA17), (IDIS | PTD | DIS | M0)) /*DSS_DATA17*/\
158 MUX_VAL(CP(DSS_DATA18), (IDIS | PTD | DIS | M0)) /*DSS_DATA18*/\
159 MUX_VAL(CP(DSS_DATA19), (IDIS | PTD | DIS | M0)) /*DSS_DATA19*/\
160 MUX_VAL(CP(DSS_DATA20), (IDIS | PTD | DIS | M0)) /*DSS_DATA20*/\
161 MUX_VAL(CP(DSS_DATA21), (IDIS | PTD | DIS | M0)) /*DSS_DATA21*/\
162 MUX_VAL(CP(DSS_DATA22), (IDIS | PTD | DIS | M0)) /*DSS_DATA22*/\
163 MUX_VAL(CP(DSS_DATA23), (IDIS | PTD | DIS | M0)) /*DSS_DATA23*/\
164 /*CAMERA*/\
165 MUX_VAL(CP(CAM_HS), (IEN | PTU | EN | M0)) /*CAM_HS */\
166 MUX_VAL(CP(CAM_VS), (IEN | PTU | EN | M0)) /*CAM_VS */\
167 MUX_VAL(CP(CAM_XCLKA), (IDIS | PTD | DIS | M0)) /*CAM_XCLKA*/\
168 MUX_VAL(CP(CAM_PCLK), (IEN | PTU | EN | M0)) /*CAM_PCLK*/\
169 MUX_VAL(CP(CAM_FLD), (IDIS | PTD | DIS | M4)) /*CAM_FLD*/\
170 MUX_VAL(CP(CAM_D0), (IEN | PTD | DIS | M0)) /*CAM_D0*/\
171 MUX_VAL(CP(CAM_D1), (IEN | PTD | DIS | M0)) /*CAM_D1*/\
172 MUX_VAL(CP(CAM_D2), (IEN | PTD | DIS | M0)) /*CAM_D2*/\
173 MUX_VAL(CP(CAM_D3), (IEN | PTD | DIS | M0)) /*CAM_D3*/\
174 MUX_VAL(CP(CAM_D4), (IEN | PTD | DIS | M0)) /*CAM_D4*/\
175 MUX_VAL(CP(CAM_D5), (IEN | PTD | DIS | M0)) /*CAM_D5*/\
176 MUX_VAL(CP(CAM_D6), (IEN | PTD | DIS | M0)) /*CAM_D6*/\
177 MUX_VAL(CP(CAM_D7), (IEN | PTD | DIS | M0)) /*CAM_D7*/\
178 MUX_VAL(CP(CAM_D8), (IEN | PTD | DIS | M0)) /*CAM_D8*/\
179 MUX_VAL(CP(CAM_D9), (IEN | PTD | DIS | M0)) /*CAM_D9*/\
180 MUX_VAL(CP(CAM_D10), (IEN | PTD | DIS | M0)) /*CAM_D10*/\
181 MUX_VAL(CP(CAM_D11), (IEN | PTD | DIS | M0)) /*CAM_D11*/\
182 MUX_VAL(CP(CAM_XCLKB), (IDIS | PTD | DIS | M0)) /*CAM_XCLKB*/\
183 MUX_VAL(CP(CAM_WEN), (IEN | PTD | DIS | M0)) /*CAM_WEN*/\
184 MUX_VAL(CP(CAM_STROBE), (IDIS | PTD | DIS | M0)) /*CAM_STROBE*/\
185 MUX_VAL(CP(CSI2_DX0), (IEN | PTD | DIS | M0)) /*CSI2_DX0*/\
186 MUX_VAL(CP(CSI2_DY0), (IEN | PTD | DIS | M0)) /*CSI2_DY0*/\
187 MUX_VAL(CP(CSI2_DX1), (IEN | PTD | DIS | M0)) /*CSI2_DX1*/\
188 MUX_VAL(CP(CSI2_DY1), (IEN | PTU | EN | M4)) /*GPIO_115*/\
189 /*Audio Interface */\
190 MUX_VAL(CP(MCBSP2_FSX), (IEN | PTD | DIS | M0)) /*McBSP2_FSX*/\
191 MUX_VAL(CP(MCBSP2_CLKX), (IEN | PTD | DIS | M0)) /*McBSP2_CLKX*/\
192 MUX_VAL(CP(MCBSP2_DR), (IEN | PTD | DIS | M0)) /*McBSP2_DR*/\
193 MUX_VAL(CP(MCBSP2_DX), (IDIS | PTD | DIS | M0)) /*McBSP2_DX*/\
194 /*Expansion card */\
195 MUX_VAL(CP(MMC1_CLK), (IDIS | PTU | EN | M0)) /*MMC1_CLK*/\
196 MUX_VAL(CP(MMC1_CMD), (IEN | PTU | EN | M0)) /*MMC1_CMD*/\
197 MUX_VAL(CP(MMC1_DAT0), (IEN | PTU | EN | M0)) /*MMC1_DAT0*/\
198 MUX_VAL(CP(MMC1_DAT1), (IEN | PTU | EN | M0)) /*MMC1_DAT1*/\
199 MUX_VAL(CP(MMC1_DAT2), (IEN | PTU | EN | M0)) /*MMC1_DAT2*/\
200 MUX_VAL(CP(MMC1_DAT3), (IEN | PTU | EN | M0)) /*MMC1_DAT3*/\
201 MUX_VAL(CP(MMC1_DAT4), (IEN | PTU | EN | M0)) /*MMC1_DAT4*/\
202 MUX_VAL(CP(MMC1_DAT5), (IEN | PTU | EN | M0)) /*MMC1_DAT5*/\
203 MUX_VAL(CP(MMC1_DAT6), (IEN | PTU | EN | M0)) /*MMC1_DAT6*/\
204 MUX_VAL(CP(MMC1_DAT7), (IEN | PTU | EN | M0)) /*MMC1_DAT7*/\
205 /*Wireless LAN */\
206 MUX_VAL(CP(MMC2_CLK), (IEN | PTU | EN | M0)) /*MMC2_CLK*/\
207 MUX_VAL(CP(MMC2_CMD), (IEN | PTU | EN | M0)) /*MMC2_CMD*/\
208 MUX_VAL(CP(MMC2_DAT0), (IEN | PTU | EN | M0)) /*MMC2_DAT0*/\
209 MUX_VAL(CP(MMC2_DAT1), (IEN | PTU | EN | M0)) /*MMC2_DAT1*/\
210 MUX_VAL(CP(MMC2_DAT2), (IEN | PTU | EN | M0)) /*MMC2_DAT2*/\
211 MUX_VAL(CP(MMC2_DAT3), (IEN | PTU | EN | M0)) /*MMC2_DAT3*/\
212 MUX_VAL(CP(MMC2_DAT4), (IEN | PTU | EN | M1)) /*MMC2_DIR_DAT0*/\
213 MUX_VAL(CP(MMC2_DAT5), (IEN | PTU | EN | M1)) /*MMC2_DIR_DAT1*/\
214 MUX_VAL(CP(MMC2_DAT6), (IEN | PTU | EN | M1)) /*MMC2_DIR_CMD*/\
215 MUX_VAL(CP(MMC2_DAT7), (IEN | PTU | EN | M1)) /*MMC2_CLKIN*/\
216 /*Bluetooth*/\
217 MUX_VAL(CP(MCBSP3_DX), (IEN | PTD | DIS | M1)) /*UART2_CTS*/\
218 MUX_VAL(CP(MCBSP3_DR), (IDIS | PTD | DIS | M1)) /*UART2_RTS*/\
219 MUX_VAL(CP(MCBSP3_CLKX), (IDIS | PTD | DIS | M1)) /*UART2_TX*/\
220 MUX_VAL(CP(MCBSP3_FSX), (IEN | PTD | DIS | M1)) /*UART2_RX*/\
221 MUX_VAL(CP(UART2_CTS), (IDIS | PTD | DIS | M4)) /*GPIO_144 - LCD_EN*/\
222 MUX_VAL(CP(UART2_RTS), (IDIS | PTD | DIS | M4)) /*GPIO_145*/\
223 MUX_VAL(CP(UART2_TX), (IDIS | PTD | DIS | M4)) /*GPIO_146*/\
224 MUX_VAL(CP(UART2_RX), (IDIS | PTD | DIS | M4)) /*GPIO_147*/\
225 MUX_VAL(CP(UART1_TX), (IDIS | PTD | DIS | M0)) /*UART1_TX*/\
226 MUX_VAL(CP(UART1_RTS), (IEN | PTU | DIS | M4)) /*GPIO_149*/ \
227 MUX_VAL(CP(UART1_CTS), (IEN | PTU | DIS | M4)) /*GPIO_150-MMC3_WP*/\
228 MUX_VAL(CP(UART1_RX), (IEN | PTD | DIS | M0)) /*UART1_RX*/\
229 MUX_VAL(CP(MCBSP4_CLKX), (IEN | PTD | DIS | M0)) /*McBSP4_CLKX*/\
230 MUX_VAL(CP(MCBSP4_DR), (IEN | PTD | DIS | M0)) /*McBSP4_DR*/\
231 MUX_VAL(CP(MCBSP4_DX), (IEN | PTD | DIS | M0)) /*McBSP4_DX*/\
232 MUX_VAL(CP(MCBSP4_FSX), (IEN | PTD | DIS | M0)) /*McBSP4_FSX*/\
233 MUX_VAL(CP(MCBSP1_CLKR), (IEN | PTD | DIS | M0)) /*McBSP1_CLKR*/\
234 MUX_VAL(CP(MCBSP1_FSR), (IEN | PTD | DIS | M0)) /*McBSP1_FSR*/\
235 MUX_VAL(CP(MCBSP1_DX), (IEN | PTD | DIS | M0)) /*McBSP1_DX*/\
236 MUX_VAL(CP(MCBSP1_DR), (IEN | PTD | DIS | M0)) /*McBSP1_DR*/\
237 MUX_VAL(CP(MCBSP_CLKS), (IEN | PTU | DIS | M0)) /*McBSP_CLKS*/\
238 MUX_VAL(CP(MCBSP1_FSX), (IEN | PTD | DIS | M0)) /*McBSP1_FSX*/\
239 MUX_VAL(CP(MCBSP1_CLKX), (IEN | PTD | DIS | M0)) /*McBSP1_CLKX*/\
240 /*Serial Interface*/\
241 MUX_VAL(CP(UART3_CTS_RCTX), (IEN | PTD | EN | M0)) /*UART3_CTS_RCTX*/\
242 MUX_VAL(CP(UART3_RTS_SD), (IEN | PTU | EN | M4)) /*GPIO_164 W2W_*/\
243 /* BT_NRESET*/\
244 MUX_VAL(CP(UART3_RX_IRRX), (IEN | PTU | EN | M0)) /*UART3_RX_IRRX*/\
245 MUX_VAL(CP(UART3_TX_IRTX), (IDIS | PTD | DIS | M0)) /*UART3_TX_IRTX*/\
246 MUX_VAL(CP(HSUSB0_CLK), (IEN | PTD | DIS | M0)) /*HSUSB0_CLK*/\
247 MUX_VAL(CP(HSUSB0_STP), (IDIS | PTU | EN | M0)) /*HSUSB0_STP*/\
248 MUX_VAL(CP(HSUSB0_DIR), (IEN | PTD | DIS | M0)) /*HSUSB0_DIR*/\
249 MUX_VAL(CP(HSUSB0_NXT), (IEN | PTD | DIS | M0)) /*HSUSB0_NXT*/\
250 MUX_VAL(CP(HSUSB0_DATA0), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA0*/\
251 MUX_VAL(CP(HSUSB0_DATA1), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA1*/\
252 MUX_VAL(CP(HSUSB0_DATA2), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA2*/\
253 MUX_VAL(CP(HSUSB0_DATA3), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA3*/\
254 MUX_VAL(CP(HSUSB0_DATA4), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA4*/\
255 MUX_VAL(CP(HSUSB0_DATA5), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA5*/\
256 MUX_VAL(CP(HSUSB0_DATA6), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA6*/\
257 MUX_VAL(CP(HSUSB0_DATA7), (IEN | PTD | DIS | M0)) /*HSUSB0_DATA7*/\
258 MUX_VAL(CP(I2C1_SCL), (IEN | PTU | EN | M0)) /*I2C1_SCL*/\
259 MUX_VAL(CP(I2C1_SDA), (IEN | PTU | EN | M0)) /*I2C1_SDA*/\
260 MUX_VAL(CP(I2C2_SCL), (IEN | PTU | EN | M4)) /*GPIO_168*/\
261 /* - USBH_CPEN*/\
262 MUX_VAL(CP(I2C2_SDA), (IEN | PTU | EN | M4)) /*GPIO_183*/\
263 /* - USBH_RESET*/\
264 MUX_VAL(CP(I2C3_SCL), (IEN | PTU | EN | M0)) /*I2C3_SCL*/\
265 MUX_VAL(CP(I2C3_SDA), (IEN | PTU | EN | M0)) /*I2C3_SDA*/\
266 MUX_VAL(CP(I2C4_SCL), (IEN | PTU | EN | M0)) /*I2C4_SCL*/\
267 MUX_VAL(CP(I2C4_SDA), (IEN | PTU | EN | M0)) /*I2C4_SDA*/\
268 MUX_VAL(CP(HDQ_SIO), (IDIS | PTU | EN | M4)) /*HDQ_SIO*/\
269 MUX_VAL(CP(MCSPI1_CLK), (IEN | PTD | DIS | M0)) /*McSPI1_CLK*/\
270 MUX_VAL(CP(MCSPI1_SIMO), (IEN | PTD | DIS | M0)) /*McSPI1_SIMO */\
271 MUX_VAL(CP(MCSPI1_SOMI), (IEN | PTD | DIS | M0)) /*McSPI1_SOMI */\
272 MUX_VAL(CP(MCSPI1_CS0), (IEN | PTD | EN | M0)) /*McSPI1_CS0*/\
273 MUX_VAL(CP(MCSPI1_CS1), (IDIS | PTD | EN | M0)) /*McSPI1_CS1*/\
274 MUX_VAL(CP(MCSPI1_CS2), (IDIS | PTD | DIS | M4)) /*GPIO_176*/\
275 MUX_VAL(CP(MCSPI1_CS3), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA2*/\
276 MUX_VAL(CP(MCSPI2_CLK), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA7*/\
277 MUX_VAL(CP(MCSPI2_SIMO), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA4*/\
278 MUX_VAL(CP(MCSPI2_SOMI), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA5*/\
279 MUX_VAL(CP(MCSPI2_CS0), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA6*/\
280 MUX_VAL(CP(MCSPI2_CS1), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA3*/\
281 /*Control and debug */\
282 MUX_VAL(CP(SYS_32K), (IEN | PTD | DIS | M0)) /*SYS_32K*/\
283 MUX_VAL(CP(SYS_CLKREQ), (IEN | PTD | DIS | M0)) /*SYS_CLKREQ*/\
284 MUX_VAL(CP(SYS_NIRQ), (IEN | PTU | EN | M0)) /*SYS_nIRQ*/\
285 MUX_VAL(CP(SYS_BOOT0), (IEN | PTD | DIS | M4)) /*GPIO_2*/\
286 MUX_VAL(CP(SYS_BOOT1), (IEN | PTD | DIS | M4)) /*GPIO_3 */\
287 MUX_VAL(CP(SYS_BOOT2), (IEN | PTD | DIS | M4)) /*GPIO_4 - MMC1_WP*/\
288 MUX_VAL(CP(SYS_BOOT3), (IEN | PTD | DIS | M4)) /*GPIO_5*/\
289 MUX_VAL(CP(SYS_BOOT4), (IEN | PTD | DIS | M4)) /*GPIO_6*/\
290 MUX_VAL(CP(SYS_BOOT5), (IEN | PTD | DIS | M4)) /*GPIO_7*/\
291 MUX_VAL(CP(SYS_BOOT6), (IDIS | PTD | DIS | M4)) /*GPIO_8*/\
292 MUX_VAL(CP(SYS_OFF_MODE), (IEN | PTD | DIS | M0)) /*SYS_OFF_MODE*/\
293 MUX_VAL(CP(SYS_CLKOUT1), (IEN | PTD | DIS | M0)) /*SYS_CLKOUT1*/\
294 MUX_VAL(CP(SYS_CLKOUT2), (IEN | PTU | EN | M4)) /*GPIO_186*/\
295 MUX_VAL(CP(ETK_CLK_ES2), (IDIS | PTU | EN | M2)) /*MMC3_CLK*/\
296 MUX_VAL(CP(ETK_CTL_ES2), (IEN | PTU | EN | M2)) /*MMC3_CMD*/\
297 MUX_VAL(CP(ETK_D0_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT4*/\
298 MUX_VAL(CP(ETK_D1_ES2), (IEN | PTD | EN | M4)) /*GPIO_15 - X_GATE*/\
299 MUX_VAL(CP(ETK_D2_ES2), (IEN | PTU | EN | M4)) /*GPIO_16*/\
300 /* - W2W_NRESET*/\
301 MUX_VAL(CP(ETK_D3_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT3*/\
302 MUX_VAL(CP(ETK_D4_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT0*/\
303 MUX_VAL(CP(ETK_D5_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT1*/\
304 MUX_VAL(CP(ETK_D6_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT2*/\
305 MUX_VAL(CP(ETK_D7_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT7*/\
306 MUX_VAL(CP(ETK_D8_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT6*/\
307 MUX_VAL(CP(ETK_D9_ES2), (IEN | PTU | EN | M2)) /*MMC3_DAT5*/\
308 MUX_VAL(CP(ETK_D10_ES2), (IDIS | PTD | DIS | M3)) /*HSUSB2_CLK*/\
309 MUX_VAL(CP(ETK_D11_ES2), (IDIS | PTU | EN | M3)) /*HSUSB2_STP*/\
310 MUX_VAL(CP(ETK_D12_ES2), (IEN | PTD | DIS | M3)) /*HSUSB2_DIR*/\
311 MUX_VAL(CP(ETK_D13_ES2), (IEN | PTD | DIS | M3)) /*HSUSB2_NXT*/\
312 MUX_VAL(CP(ETK_D14_ES2), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA0*/\
313 MUX_VAL(CP(ETK_D15_ES2), (IEN | PTD | DIS | M3)) /*HSUSB2_DATA1*/\
314 /* die to die */\
315 MUX_VAL(CP(D2D_MCAD1), (IEN | PTD | EN | M0)) /*d2d_mcad1*/\
316 MUX_VAL(CP(D2D_MCAD2), (IEN | PTD | EN | M0)) /*d2d_mcad2*/\
317 MUX_VAL(CP(D2D_MCAD3), (IEN | PTD | EN | M0)) /*d2d_mcad3*/\
318 MUX_VAL(CP(D2D_MCAD4), (IEN | PTD | EN | M0)) /*d2d_mcad4*/\
319 MUX_VAL(CP(D2D_MCAD5), (IEN | PTD | EN | M0)) /*d2d_mcad5*/\
320 MUX_VAL(CP(D2D_MCAD6), (IEN | PTD | EN | M0)) /*d2d_mcad6*/\
321 MUX_VAL(CP(D2D_MCAD7), (IEN | PTD | EN | M0)) /*d2d_mcad7*/\
322 MUX_VAL(CP(D2D_MCAD8), (IEN | PTD | EN | M0)) /*d2d_mcad8*/\
323 MUX_VAL(CP(D2D_MCAD9), (IEN | PTD | EN | M0)) /*d2d_mcad9*/\
324 MUX_VAL(CP(D2D_MCAD10), (IEN | PTD | EN | M0)) /*d2d_mcad10*/\
325 MUX_VAL(CP(D2D_MCAD11), (IEN | PTD | EN | M0)) /*d2d_mcad11*/\
326 MUX_VAL(CP(D2D_MCAD12), (IEN | PTD | EN | M0)) /*d2d_mcad12*/\
327 MUX_VAL(CP(D2D_MCAD13), (IEN | PTD | EN | M0)) /*d2d_mcad13*/\
328 MUX_VAL(CP(D2D_MCAD14), (IEN | PTD | EN | M0)) /*d2d_mcad14*/\
329 MUX_VAL(CP(D2D_MCAD15), (IEN | PTD | EN | M0)) /*d2d_mcad15*/\
330 MUX_VAL(CP(D2D_MCAD16), (IEN | PTD | EN | M0)) /*d2d_mcad16*/\
331 MUX_VAL(CP(D2D_MCAD17), (IEN | PTD | EN | M0)) /*d2d_mcad17*/\
332 MUX_VAL(CP(D2D_MCAD18), (IEN | PTD | EN | M0)) /*d2d_mcad18*/\
333 MUX_VAL(CP(D2D_MCAD19), (IEN | PTD | EN | M0)) /*d2d_mcad19*/\
334 MUX_VAL(CP(D2D_MCAD20), (IEN | PTD | EN | M0)) /*d2d_mcad20*/\
335 MUX_VAL(CP(D2D_MCAD21), (IEN | PTD | EN | M0)) /*d2d_mcad21*/\
336 MUX_VAL(CP(D2D_MCAD22), (IEN | PTD | EN | M0)) /*d2d_mcad22*/\
337 MUX_VAL(CP(D2D_MCAD23), (IEN | PTD | EN | M0)) /*d2d_mcad23*/\
338 MUX_VAL(CP(D2D_MCAD24), (IEN | PTD | EN | M0)) /*d2d_mcad24*/\
339 MUX_VAL(CP(D2D_MCAD25), (IEN | PTD | EN | M0)) /*d2d_mcad25*/\
340 MUX_VAL(CP(D2D_MCAD26), (IEN | PTD | EN | M0)) /*d2d_mcad26*/\
341 MUX_VAL(CP(D2D_MCAD27), (IEN | PTD | EN | M0)) /*d2d_mcad27*/\
342 MUX_VAL(CP(D2D_MCAD28), (IEN | PTD | EN | M0)) /*d2d_mcad28*/\
343 MUX_VAL(CP(D2D_MCAD29), (IEN | PTD | EN | M0)) /*d2d_mcad29*/\
344 MUX_VAL(CP(D2D_MCAD30), (IEN | PTD | EN | M0)) /*d2d_mcad30*/\
345 MUX_VAL(CP(D2D_MCAD31), (IEN | PTD | EN | M0)) /*d2d_mcad31*/\
346 MUX_VAL(CP(D2D_MCAD32), (IEN | PTD | EN | M0)) /*d2d_mcad32*/\
347 MUX_VAL(CP(D2D_MCAD33), (IEN | PTD | EN | M0)) /*d2d_mcad33*/\
348 MUX_VAL(CP(D2D_MCAD34), (IEN | PTD | EN | M0)) /*d2d_mcad34*/\
349 MUX_VAL(CP(D2D_MCAD35), (IEN | PTD | EN | M0)) /*d2d_mcad35*/\
350 MUX_VAL(CP(D2D_MCAD36), (IEN | PTD | EN | M0)) /*d2d_mcad36*/\
351 MUX_VAL(CP(D2D_CLK26MI), (IEN | PTD | DIS | M0)) /*d2d_clk26mi*/\
352 MUX_VAL(CP(D2D_NRESPWRON), (IEN | PTD | EN | M0)) /*d2d_nrespwron*/\
353 MUX_VAL(CP(D2D_NRESWARM), (IEN | PTU | EN | M0)) /*d2d_nreswarm */\
354 MUX_VAL(CP(D2D_ARM9NIRQ), (IEN | PTD | DIS | M0)) /*d2d_arm9nirq */\
355 MUX_VAL(CP(D2D_UMA2P6FIQ), (IEN | PTD | DIS | M0)) /*d2d_uma2p6fiq*/\
356 MUX_VAL(CP(D2D_SPINT), (IEN | PTD | EN | M0)) /*d2d_spint*/\
357 MUX_VAL(CP(D2D_FRINT), (IEN | PTD | EN | M0)) /*d2d_frint*/\
358 MUX_VAL(CP(D2D_DMAREQ0), (IEN | PTD | DIS | M0)) /*d2d_dmareq0*/\
359 MUX_VAL(CP(D2D_DMAREQ1), (IEN | PTD | DIS | M0)) /*d2d_dmareq1*/\
360 MUX_VAL(CP(D2D_DMAREQ2), (IEN | PTD | DIS | M0)) /*d2d_dmareq2*/\
361 MUX_VAL(CP(D2D_DMAREQ3), (IEN | PTD | DIS | M0)) /*d2d_dmareq3*/\
362 MUX_VAL(CP(D2D_N3GTRST), (IEN | PTD | DIS | M0)) /*d2d_n3gtrst*/\
363 MUX_VAL(CP(D2D_N3GTDI), (IEN | PTD | DIS | M0)) /*d2d_n3gtdi*/\
364 MUX_VAL(CP(D2D_N3GTDO), (IEN | PTD | DIS | M0)) /*d2d_n3gtdo*/\
365 MUX_VAL(CP(D2D_N3GTMS), (IEN | PTD | DIS | M0)) /*d2d_n3gtms*/\
366 MUX_VAL(CP(D2D_N3GTCK), (IEN | PTD | DIS | M0)) /*d2d_n3gtck*/\
367 MUX_VAL(CP(D2D_N3GRTCK), (IEN | PTD | DIS | M0)) /*d2d_n3grtck*/\
368 MUX_VAL(CP(D2D_MSTDBY), (IEN | PTU | EN | M0)) /*d2d_mstdby*/\
369 MUX_VAL(CP(D2D_SWAKEUP), (IEN | PTD | EN | M0)) /*d2d_swakeup*/\
370 MUX_VAL(CP(D2D_IDLEREQ), (IEN | PTD | DIS | M0)) /*d2d_idlereq*/\
371 MUX_VAL(CP(D2D_IDLEACK), (IEN | PTU | EN | M0)) /*d2d_idleack*/\
372 MUX_VAL(CP(D2D_MWRITE), (IEN | PTD | DIS | M0)) /*d2d_mwrite*/\
373 MUX_VAL(CP(D2D_SWRITE), (IEN | PTD | DIS | M0)) /*d2d_swrite*/\
374 MUX_VAL(CP(D2D_MREAD), (IEN | PTD | DIS | M0)) /*d2d_mread*/\
375 MUX_VAL(CP(D2D_SREAD), (IEN | PTD | DIS | M0)) /*d2d_sread*/\
376 MUX_VAL(CP(D2D_MBUSFLAG), (IEN | PTD | DIS | M0)) /*d2d_mbusflag*/\
377 MUX_VAL(CP(D2D_SBUSFLAG), (IEN | PTD | DIS | M0)) /*d2d_sbusflag*/\
378 MUX_VAL(CP(SDRC_CKE0), (IDIS | PTU | EN | M0)) /*sdrc_cke0*/\
379 MUX_VAL(CP(SDRC_CKE1), (IDIS | PTD | DIS | M7)) /*sdrc_cke1*/
380
381 #endif
382
board/omap3/overo/u-boot.lds
File was created 1 /*
2 * January 2004 - Changed to support H4 device
3 * Copyright (c) 2004 Texas Instruments
4 *
5 * (C) Copyright 2002
6 * Gary Jennejohn, DENX Software Engineering, <gj@denx.de>
7 *
8 * See file CREDITS for list of people who contributed to this
9 * project.
10 *
11 * This program is free software; you can redistribute it and/or
12 * modify it under the terms of the GNU General Public License as
13 * published by the Free Software Foundation; either version 2 of
14 * the License, or (at your option) any later version.
15 *
16 * This program is distributed in the hope that it will be useful,
17 * but WITHOUT ANY WARRANTY; without even the implied warranty of
18 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
19 * GNU General Public License for more details.
20 *
21 * You should have received a copy of the GNU General Public License
22 * along with this program; if not, write to the Free Software
23 * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
24 * MA 02111-1307 USA
25 */
26
27 OUTPUT_FORMAT("elf32-littlearm", "elf32-littlearm", "elf32-littlearm")
28 OUTPUT_ARCH(arm)
29 ENTRY(_start)
30 SECTIONS
31 {
32 . = 0x00000000;
33
34 . = ALIGN(4);
35 .text :
36 {
37 cpu/arm_cortexa8/start.o (.text)
38 *(.text)
39 }
40
41 . = ALIGN(4);
42 .rodata : { *(.rodata) }
43
44 .ARM.extab : { *(.ARM.extab* .gnu.linkonce.armextab.*) }
45 __exidx_start = .;
46 .ARM.exidx : { *(.ARM.exidx* .gnu.linkonce.armexidx.*) }
47 __exidx_end = .;
48
49 . = ALIGN(4);
50 .data : { *(.data) }
51
52 . = ALIGN(4);
53 .got : { *(.got) }
54
55 __u_boot_cmd_start = .;
56 .u_boot_cmd : { *(.u_boot_cmd) }
57 __u_boot_cmd_end = .;
58
59 . = ALIGN(4);
60 __bss_start = .;
61 .bss : { *(.bss) }
62 _end = .;
63 }
64
1 1
2 Summary 2 Summary
3 ======= 3 =======
4 4
5 This README is about U-Boot support for TI's ARM Cortex-A8 based OMAP3 [1] 5 This README is about U-Boot support for TI's ARM Cortex-A8 based OMAP3 [1]
6 family of SoCs. TI's OMAP3 SoC family contains an ARM Cortex-A8. Additionally, 6 family of SoCs. TI's OMAP3 SoC family contains an ARM Cortex-A8. Additionally,
7 some family members contain a TMS320C64x+ DSP and/or an Imagination SGX 2D/3D 7 some family members contain a TMS320C64x+ DSP and/or an Imagination SGX 2D/3D
8 graphics processor and various other standard peripherals. 8 graphics processor and various other standard peripherals.
9 9
10 Currently the following boards are supported: 10 Currently the following boards are supported:
11 11
12 * OMAP3530 BeagleBoard [2] 12 * OMAP3530 BeagleBoard [2]
13 13
14 * Gumstix Overo [3]
15
14 Toolchain 16 Toolchain
15 ========= 17 =========
16 18
17 While ARM Cortex-A8 support ARM v7 instruction set (-march=armv7a) we compile 19 While ARM Cortex-A8 support ARM v7 instruction set (-march=armv7a) we compile
18 with -march=armv5 to allow more compilers to work. For U-Boot code this has 20 with -march=armv5 to allow more compilers to work. For U-Boot code this has
19 no performance impact. 21 no performance impact.
20 22
21 Build 23 Build
22 ===== 24 =====
23 25
24 * BeagleBoard: 26 * BeagleBoard:
25 27
26 make omap3_beagle_config 28 make omap3_beagle_config
27 make 29 make
28 30
31 * Gumstix Overo:
32
33 make omap3_overo_config
34 make
35
29 Custom commands 36 Custom commands
30 =============== 37 ===============
31 38
32 To make U-Boot for OMAP3 support NAND device SW or HW ECC calculation, U-Boot 39 To make U-Boot for OMAP3 support NAND device SW or HW ECC calculation, U-Boot
33 for OMAP3 supports custom user command 40 for OMAP3 supports custom user command
34 41
35 nandecc hw/sw 42 nandecc hw/sw
36 43
37 To be compatible with NAND drivers using SW ECC (e.g. kernel code) 44 To be compatible with NAND drivers using SW ECC (e.g. kernel code)
38 45
39 nandecc sw 46 nandecc sw
40 47
41 enables SW ECC calculation. HW ECC enabled with 48 enables SW ECC calculation. HW ECC enabled with
42 49
43 nandecc hw 50 nandecc hw
44 51
45 is typically used to write 2nd stage bootloader (known as 'x-loader') which is 52 is typically used to write 2nd stage bootloader (known as 'x-loader') which is
46 executed by OMAP3's boot rom and therefore has to be written with HW ECC. 53 executed by OMAP3's boot rom and therefore has to be written with HW ECC.
47 54
48 For all other commands see 55 For all other commands see
49 56
50 help 57 help
51 58
52 Acknowledgements 59 Acknowledgements
53 ================ 60 ================
54 61
55 OMAP3 U-Boot is based on U-Boot tar ball [3] for BeagleBoard and EVM done by 62 OMAP3 U-Boot is based on U-Boot tar ball [4] for BeagleBoard and EVM done by
56 several TI employees. 63 several TI employees.
57 64
58 Links 65 Links
59 ===== 66 =====
60 67
61 [1] OMAP3: 68 [1] OMAP3:
62 69
63 http://www.ti.com/omap3 (high volume) and 70 http://www.ti.com/omap3 (high volume) and
64 http://www.ti.com/omap35x (broad market) 71 http://www.ti.com/omap35x (broad market)
65 72
66 [2] OMAP3530 BeagleBoard: 73 [2] OMAP3530 BeagleBoard:
67 74
68 http://beagleboard.org/ 75 http://beagleboard.org/
69 76
70 [3] TI OMAP3 U-Boot: 77 [3] Gumstix Overo:
78
79 http://www.gumstix.net/Overo/
80
81 [4] TI OMAP3 U-Boot:
71 82
72 http://beagleboard.googlecode.com/files/u-boot_beagle_revb.tar.gz 83 http://beagleboard.googlecode.com/files/u-boot_beagle_revb.tar.gz
73 84
include/configs/omap3_overo.h
File was created 1 /*
2 * Configuration settings for the Gumstix Overo board.
3 *
4 * This program is free software; you can redistribute it and/or
5 * modify it under the terms of the GNU General Public License as
6 * published by the Free Software Foundation; either version 2 of
7 * the License, or (at your option) any later version.
8 *
9 * This program is distributed in the hope that it will be useful,
10 * but WITHOUT ANY WARRANTY; without even the implied warranty of
11 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
12 * GNU General Public License for more details.
13 *
14 * You should have received a copy of the GNU General Public License
15 * along with this program; if not, write to the Free Software
16 * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
17 * MA 02111-1307 USA
18 */
19
20 #ifndef __CONFIG_H
21 #define __CONFIG_H
22 #include <asm/sizes.h>
23
24 /*
25 * High Level Configuration Options
26 */
27 #define CONFIG_ARMCORTEXA8 1 /* This is an ARM V7 CPU core */
28 #define CONFIG_OMAP 1 /* in a TI OMAP core */
29 #define CONFIG_OMAP34XX 1 /* which is a 34XX */
30 #define CONFIG_OMAP3430 1 /* which is in a 3430 */
31 #define CONFIG_OMAP3_OVERO 1 /* working with overo */
32
33 #include <asm/arch/cpu.h> /* get chip and board defs */
34 #include <asm/arch/omap3.h>
35
36 /* Clock Defines */
37 #define V_OSCK 26000000 /* Clock output from T2 */
38 #define V_SCLK (V_OSCK >> 1)
39
40 #undef CONFIG_USE_IRQ /* no support for IRQs */
41 #define CONFIG_MISC_INIT_R
42
43 #define CONFIG_CMDLINE_TAG 1 /* enable passing of ATAGs */
44 #define CONFIG_SETUP_MEMORY_TAGS 1
45 #define CONFIG_INITRD_TAG 1
46 #define CONFIG_REVISION_TAG 1
47
48 /*
49 * Size of malloc() pool
50 */
51 #define CONFIG_ENV_SIZE SZ_128K /* Total Size Environment */
52 /* Sector */
53 #define CONFIG_SYS_MALLOC_LEN (CONFIG_ENV_SIZE + SZ_128K)
54 #define CONFIG_SYS_GBL_DATA_SIZE 128 /* bytes reserved for */
55 /* initial data */
56
57 /*
58 * Hardware drivers
59 */
60
61 /*
62 * NS16550 Configuration
63 */
64 #define V_NS16550_CLK 48000000 /* 48MHz (APLL96/2) */
65
66 #define CONFIG_SYS_NS16550
67 #define CONFIG_SYS_NS16550_SERIAL
68 #define CONFIG_SYS_NS16550_REG_SIZE (-4)
69 #define CONFIG_SYS_NS16550_CLK V_NS16550_CLK
70
71 /*
72 * select serial console configuration
73 */
74 #define CONFIG_CONS_INDEX 3
75 #define CONFIG_SYS_NS16550_COM3 OMAP34XX_UART3
76 #define CONFIG_SERIAL3 3
77
78 /* allow to overwrite serial and ethaddr */
79 #define CONFIG_ENV_OVERWRITE
80 #define CONFIG_BAUDRATE 115200
81 #define CONFIG_SYS_BAUDRATE_TABLE {4800, 9600, 19200, 38400, 57600, \
82 115200}
83 #define CONFIG_MMC 1
84 #define CONFIG_OMAP3_MMC 1
85 #define CONFIG_DOS_PARTITION 1
86
87 /* commands to include */
88 #include <config_cmd_default.h>
89
90 #define CONFIG_CMD_EXT2 /* EXT2 Support */
91 #define CONFIG_CMD_FAT /* FAT support */
92 #define CONFIG_CMD_JFFS2 /* JFFS2 Support */
93
94 #define CONFIG_CMD_I2C /* I2C serial bus support */
95 #define CONFIG_CMD_MMC /* MMC support */
96 #define CONFIG_CMD_NAND /* NAND support */
97
98 #undef CONFIG_CMD_FLASH /* flinfo, erase, protect */
99 #undef CONFIG_CMD_FPGA /* FPGA configuration Support */
100 #undef CONFIG_CMD_IMI /* iminfo */
101 #undef CONFIG_CMD_IMLS /* List all found images */
102 #undef CONFIG_CMD_NET /* bootp, tftpboot, rarpboot */
103 #undef CONFIG_CMD_NFS /* NFS support */
104
105 #define CONFIG_SYS_NO_FLASH
106 #define CONFIG_SYS_I2C_SPEED 100000
107 #define CONFIG_SYS_I2C_SLAVE 1
108 #define CONFIG_SYS_I2C_BUS 0
109 #define CONFIG_SYS_I2C_BUS_SELECT 1
110 #define CONFIG_DRIVER_OMAP34XX_I2C 1
111
112 /*
113 * Board NAND Info.
114 */
115 #define CONFIG_NAND_OMAP_GPMC
116 #define CONFIG_SYS_NAND_ADDR NAND_BASE /* physical address */
117 /* to access nand */
118 #define CONFIG_SYS_NAND_BASE NAND_BASE /* physical address */
119 /* to access nand */
120 /* at CS0 */
121 #define GPMC_NAND_ECC_LP_x16_LAYOUT 1
122
123 #define CONFIG_SYS_MAX_NAND_DEVICE 1 /* Max number of NAND */
124 /* devices */
125 #define SECTORSIZE 512
126
127 #define NAND_ALLOW_ERASE_ALL
128 #define ADDR_COLUMN 1
129 #define ADDR_PAGE 2
130 #define ADDR_COLUMN_PAGE 3
131
132 #define NAND_ChipID_UNKNOWN 0x00
133 #define NAND_MAX_FLOORS 1
134 #define NAND_MAX_CHIPS 1
135 #define NAND_NO_RB 1
136 #define CONFIG_SYS_NAND_WP
137
138 #define CONFIG_JFFS2_NAND
139 /* nand device jffs2 lives on */
140 #define CONFIG_JFFS2_DEV "nand0"
141 /* start of jffs2 partition */
142 #define CONFIG_JFFS2_PART_OFFSET 0x680000
143 #define CONFIG_JFFS2_PART_SIZE 0xf980000 /* size of jffs2 */
144 /* partition */
145
146 /* Environment information */
147 #define CONFIG_BOOTDELAY 5
148
149 #define CONFIG_EXTRA_ENV_SETTINGS \
150 "loadaddr=0x82000000\0" \
151 "console=ttyS2,115200n8\0" \
152 "videomode=1024x768@60,vxres=1024,vyres=768\0" \
153 "videospec=omapfb:vram:2M,vram:4M\0" \
154 "mmcargs=setenv bootargs console=${console} " \
155 "video=${videospec},mode:${videomode} " \
156 "root=/dev/mmcblk0p2 rw " \
157 "rootfstype=ext3 rootwait\0" \
158 "nandargs=setenv bootargs console=${console} " \
159 "video=${videospec},mode:${videomode} " \
160 "root=/dev/mtdblock4 rw " \
161 "rootfstype=jffs2\0" \
162 "loadbootscript=fatload mmc 0 ${loadaddr} boot.scr\0" \
163 "bootscript=echo Running bootscript from mmc ...; " \
164 "autoscr ${loadaddr}\0" \
165 "loaduimage=fatload mmc 0 ${loadaddr} uImage\0" \
166 "mmcboot=echo Booting from mmc ...; " \
167 "run mmcargs; " \
168 "bootm ${loadaddr}\0" \
169 "nandboot=echo Booting from nand ...; " \
170 "run nandargs; " \
171 "nand read ${loadaddr} 280000 400000; " \
172 "bootm ${loadaddr}\0" \
173
174 #define CONFIG_BOOTCOMMAND \
175 "if mmcinit; then " \
176 "if run loadbootscript; then " \
177 "run bootscript; " \
178 "else " \
179 "if run loaduimage; then " \
180 "run mmcboot; " \
181 "else run nandboot; " \
182 "fi; " \
183 "fi; " \
184 "else run nandboot; fi"
185
186 #define CONFIG_AUTO_COMPLETE 1
187 /*
188 * Miscellaneous configurable options
189 */
190 #define V_PROMPT "Overo # "
191
192 #define CONFIG_SYS_LONGHELP /* undef to save memory */
193 #define CONFIG_SYS_HUSH_PARSER /* use "hush" command parser */
194 #define CONFIG_SYS_PROMPT_HUSH_PS2 "> "
195 #define CONFIG_SYS_PROMPT V_PROMPT
196 #define CONFIG_SYS_CBSIZE 256 /* Console I/O Buffer Size */
197 /* Print Buffer Size */
198 #define CONFIG_SYS_PBSIZE (CONFIG_SYS_CBSIZE + \
199 sizeof(CONFIG_SYS_PROMPT) + 16)
200 #define CONFIG_SYS_MAXARGS 16 /* max number of command */
201 /* args */
202 /* Boot Argument Buffer Size */
203 #define CONFIG_SYS_BARGSIZE CONFIG_SYS_CBSIZE
204 /* memtest works on */
205 #define CONFIG_SYS_MEMTEST_START (OMAP34XX_SDRC_CS0)
206 #define CONFIG_SYS_MEMTEST_END (OMAP34XX_SDRC_CS0 + \
207 0x01F00000) /* 31MB */
208
209 #undef CONFIG_SYS_CLKS_IN_HZ /* everything, incl board info, */
210 /* in Hz */
211
212 #define CONFIG_SYS_LOAD_ADDR (OMAP34XX_SDRC_CS0) /* default load */
213 /* address */
214
215 /*
216 * 2430 has 12 GP timers, they can be driven by the SysClk (12/13/19.2) or by
217 * 32KHz clk, or from external sig. This rate is divided by a local divisor.
218 */
219 #define V_PVT 7
220
221 #define CONFIG_SYS_TIMERBASE (OMAP34XX_GPT2)
222 #define CONFIG_SYS_PVT V_PVT /* 2^(pvt+1) */
223 #define CONFIG_SYS_HZ ((V_SCLK) / (2 << CONFIG_SYS_PVT))
224
225 /*-----------------------------------------------------------------------
226 * Stack sizes
227 *
228 * The stack sizes are set up in start.S using the settings below
229 */
230 #define CONFIG_STACKSIZE SZ_128K /* regular stack */
231 #ifdef CONFIG_USE_IRQ
232 #define CONFIG_STACKSIZE_IRQ SZ_4K /* IRQ stack */
233 #define CONFIG_STACKSIZE_FIQ SZ_4K /* FIQ stack */
234 #endif
235
236 /*-----------------------------------------------------------------------
237 * Physical Memory Map
238 */
239 #define CONFIG_NR_DRAM_BANKS 2 /* CS1 may or may not be populated */
240 #define PHYS_SDRAM_1 OMAP34XX_SDRC_CS0
241 #define PHYS_SDRAM_1_SIZE SZ_32M /* at least 32 meg */
242 #define PHYS_SDRAM_2 OMAP34XX_SDRC_CS1
243
244 /* SDRAM Bank Allocation method */
245 #define SDRC_R_B_C 1
246
247 /*-----------------------------------------------------------------------
248 * FLASH and environment organization
249 */
250
251 /* **** PISMO SUPPORT *** */
252
253 /* Configure the PISMO */
254 #define PISMO1_NAND_SIZE GPMC_SIZE_128M
255 #define PISMO1_ONEN_SIZE GPMC_SIZE_128M
256
257 #define CONFIG_SYS_MAX_FLASH_SECT 520 /* max number of sectors on */
258 /* one chip */
259 #define CONFIG_SYS_MAX_FLASH_BANKS 2 /* max number of flash banks */
260 #define CONFIG_SYS_MONITOR_LEN SZ_256K /* Reserve 2 sectors */
261
262 #define CONFIG_SYS_FLASH_BASE boot_flash_base
263
264 /* Monitor at start of flash */
265 #define CONFIG_SYS_MONITOR_BASE CONFIG_SYS_FLASH_BASE
266 #define CONFIG_SYS_ONENAND_BASE ONENAND_MAP
267
268 #define CONFIG_ENV_IS_IN_NAND 1
269 #define ONENAND_ENV_OFFSET 0x240000 /* environment starts here */
270 #define SMNAND_ENV_OFFSET 0x240000 /* environment starts here */
271
272 #define CONFIG_SYS_ENV_SECT_SIZE boot_flash_sec
273 #define CONFIG_ENV_OFFSET boot_flash_off
274 #define CONFIG_ENV_ADDR SMNAND_ENV_OFFSET
275
276 /*-----------------------------------------------------------------------
277 * CFI FLASH driver setup
278 */
279 /* timeout values are in ticks */
280 #define CONFIG_SYS_FLASH_ERASE_TOUT (100 * CONFIG_SYS_HZ)
281 #define CONFIG_SYS_FLASH_WRITE_TOUT (100 * CONFIG_SYS_HZ)
282
283 /* Flash banks JFFS2 should use */
284 #define CONFIG_SYS_MAX_MTD_BANKS (CONFIG_SYS_MAX_FLASH_BANKS + \
285 CONFIG_SYS_MAX_NAND_DEVICE)
286 #define CONFIG_SYS_JFFS2_MEM_NAND
287 /* use flash_info[2] */
288 #define CONFIG_SYS_JFFS2_FIRST_BANK CONFIG_SYS_MAX_FLASH_BANKS
289 #define CONFIG_SYS_JFFS2_NUM_BANKS 1
290
291 #ifndef __ASSEMBLY__
292 extern gpmc_csx_t *nand_cs_base;
293 extern gpmc_t *gpmc_cfg_base;
294 extern unsigned int boot_flash_base;
295 extern volatile unsigned int boot_flash_env_addr;
296 extern unsigned int boot_flash_off;
297 extern unsigned int boot_flash_sec;
298 extern unsigned int boot_flash_type;
299 #endif
300
301
302 #define WRITE_NAND_COMMAND(d, adr)\
303 writel(d, &nand_cs_base->nand_cmd)
304 #define WRITE_NAND_ADDRESS(d, adr)\
305 writel(d, &nand_cs_base->nand_adr)
306 #define WRITE_NAND(d, adr) writew(d, &nand_cs_base->nand_dat)
307 #define READ_NAND(adr) readl(&nand_cs_base->nand_dat)
308
309 /* Other NAND Access APIs */
310 #define NAND_WP_OFF() do {readl(&gpmc_cfg_base->config) |= GPMC_CONFIG_WP; } \
311 while (0)
312 #define NAND_WP_ON() do {readl(&gpmc_cfg_base->config) &= ~GPMC_CONFIG_WP; } \
313 while (0)
314 #define NAND_DISABLE_CE(nand)
315 #define NAND_ENABLE_CE(nand)
316 #define NAND_WAIT_READY(nand) udelay(10)
317
318 #endif /* __CONFIG_H */
319